scispace - formally typeset
Search or ask a question

Showing papers on "Image resolution published in 1995"


Journal ArticleDOI
TL;DR: Although some numerical measures correlate well with the observers' response for a given compression technique, they are not reliable for an evaluation across different techniques, and a graphical measure called Hosaka plots can be used to appropriately specify not only the amount, but also the type of degradation in reconstructed images.
Abstract: A number of quality measures are evaluated for gray scale image compression. They are all bivariate, exploiting the differences between corresponding pixels in the original and degraded images. It is shown that although some numerical measures correlate well with the observers' response for a given compression technique, they are not reliable for an evaluation across different techniques. A graphical measure called Hosaka plots, however, can be used to appropriately specify not only the amount, but also the type of degradation in reconstructed images.

1,660 citations


Journal ArticleDOI
16 Feb 1995-Nature
TL;DR: In this article, a method for enhancing the contrast in hard X-ray images of weakly absorbing materials by resolving phase variations across the x-ray beam is described. But although X-rays penetrate deeply into carbon-based compounds, such as soft biological tissue, polymers and carbon-fibre composites, there is little absorption and therefore poor image contrast.
Abstract: IMAGING with hard X-rays is an important diagnostic tool in medicine, biology and materials science. Contact radiography and tomography using hard X-rays provide information on internal structures that cannot be obtained using other non-destructive methods. The image contrast results from variations in the X-ray absorption arising from density differences and variations in composition and thickness of the object. But although X-rays penetrate deeply into carbon-based compounds, such as soft biological tissue, polymers and carbon-fibre composites, there is little absorption and therefore poor image contrast. Here we describe a method for enhancing the contrast in hard X-ray images of weakly absorbing materials by resolving phase variations across the X-ray beam1–4. The phase gradients are detected using diffraction from perfect silicon crystals. The diffraction properties of the crystal determine the ultimate spatial resolution in the image; we can readily obtain a resolution of a fraction of a millimetre. Our method shows dramatic contrast enhancement for weakly absorbing biological and inorganic materials, compared with conventional radiography using the same X-ray energy. We present both bright-field and dark-field phase-contrast images, and show evidence of contrast reversal. The method should have the clinical advantage of good contrast for low absorbed X-ray dose.

951 citations


Journal ArticleDOI
TL;DR: The algorithm is based on a source model emphasizing the visual integrity of detected edges and incorporates a novel edge fitting operator that has been developed for this application, and produces an image of increased resolution with noticeably sharper edges and lower mean-squared reconstruction error than that produced by linear techniques.
Abstract: In this paper, we present a nonlinear interpolation scheme for still image resolution enhancement. The algorithm is based on a source model emphasizing the visual integrity of detected edges and incorporates a novel edge fitting operator that has been developed for this application. A small neighborhood about each pixel in the low-resolution image is first mapped to a best-fit continuous space step edge. The bilevel approximation serves as a local template on which the higher resolution sampling grid can then be superimposed (where disputed values in regions of local window overlap are averaged to smooth errors). The result is an image of increased resolution with noticeably sharper edges and, in all tried cases, lower mean-squared reconstruction error than that produced by linear techniques. >

492 citations


Journal ArticleDOI
TL;DR: This work presents a new cluster analysis model applicable to data with little or even no covariance between adjacent pixels, and is substantially more sensitive than both the conventional intensity‐only thresholding (IOT) method and the previous cluster method for signal change less than 6%, with maximum significant enhancement in sensitivity.
Abstract: Conventional t-statistics and cross-correlation coefficients are commonly used for analysis of functional magnetic resonance images. The sensitivity of these statistics is usually low because severe Bonferroni-type corrections are required for multiple statistical comparisons to minimize the false-positive error. In the human brain, most functional areas are larger in size than a single image pixel, and coactivation of numerous contiguous pixels is expected. The probability of occurrence of clusters due to random noise is small and can be modeled. Cluster size and intensity thresholding can be used to assess statistical significance. Previous cluster analysis strategies used Gaussian models, working best with low spatial resolution images (e.g., positron emission tomography). We present a new cluster analysis model applicable to data with little or even no covariance between adjacent pixels. Computer simulations and phantom experiments were used to verify this strategy. Our new method is substantially more sensitive than both the conventional intensity-only thresholding (IOT) method and the previous cluster method for signal change less than 6%, with maximum significant enhancement in sensitivity of 12.8 and 3.8 times, respectively. The results obtained from normal volunteers with visual stimulation further confirm the effectiveness of our new approach and show an average increase in detected activation area of 3.1 times over the IOT method and of 1.6 times over the previous cluster method using the new approach. ©1996 Wiley-Liss, Inc.

379 citations


Journal ArticleDOI
Atsushi Momose1
TL;DR: In this paper, phase-contrast X-ray computed tomography (PCX-CT) images are compared with an absorption contrast CT image and shown to be highly sensitive.
Abstract: Phase-contrast X-ray computed tomography (PCX-CT) using an X-ray interferometer is introduced for observing a density distribution inside an organic material. PCX-CT images are compared with an absorption-contrast X-ray CT image and shown to be highly sensitive. To convert an interference pattern into an image of phase-shift distribution, which is put into a CT algorithm, the author applied subfringe analysis techniques, such as the Fourier-transform method and the fringe scanning method. In the case presented here, a plastic sphere is used as a test sample, and the resulting spatial resolution of the PCX-CT image is less than 40 μm. The signal-to-noise ratio (S/N) for the PCX-CT image is increased to ten times that for an absorption-contrast CT image. The S/N can be further increased by suppressing the movement of the interference pattern caused by air flow around the interferometer.

315 citations


Journal ArticleDOI
TL;DR: A modality‐independent approch for interactive spatial normalization of tomographic images of the human brain is described and its performance evaluated.
Abstract: A modality-independent approch for interactive spatial normalization of tomographic images of the human brain is described and its performance evaluated. Spatial normalization is accomplished using a nine-parameter affine transformation to interactively align and adjust the shape of a subject brain to the reference brain detailed in the 1988 atlas of Talairach et al. A user-friendly software application was developed using the X-windows Motif environment to guide the user through this process. This software supports data types from a wide variety of tomographic imagers and produces output in spatially concise formats. The parameters used for spatial alignment and shape normalization are presented and methods to apply them discussed. Where normalization parameters cannot be obtained directly from the image, as with positron emission tomography (PET), methods for estimating them are given. Evaluation of a new four-landmark method to fit the AC-PC line in 16 magnetic resonance imaging (MRI) studies indicated an average difference assessed as the distance between the true and fitted AC-PC line at four locations of 0.82 mm when using a 2-D weighted fit. The same landmarks were evaluated using lower spatial resolution PET-like images simulated from the 16 MRI studies. The difference between the PET and MR image volumes following alignment was minimal, with mean rotational differences of less than 0.2 deg and mean translational differences of generally less than 2 mm. Spatial normalization is illustrated for single photon emission computed tomography (SPECT), X-ray computed tomography (CT), PET, and MR image volumes. Modality-independent spatial normalization can be consistently and reliably performed with the methods and software presented. © 1995 Wiley-Liss, Inc.

268 citations


Journal ArticleDOI
TL;DR: In this paper, the authors proposed a super-resolution method for high-image-density particle image velocimetry (PIV), which combines correlation analysis of the group of particles in a spot with sub-interrogation-spot particle tracking.
Abstract: The spatial resolution of conventional high-image-density particle image velocimetry (PIV) is determined by the size of the interrogation volume, which is typically a fraction of a millimetre. An improved method of PIV analysis is proposed in which the ultimate resolution of PIV is determined by the smaller of the mean spacing between particles and the displacement of the particles between light pulses. Since these distances must be smaller than the interrogation spot size in the high-image-density limit, the new procedure is referred to as super-resolution. The method combines correlation analysis of the group of particles in a spot with sub-interrogation-spot particle tracking. The theory of the procedure is described and evaluated using Monte Carlo simulations. The feasibility of enhancing resolution significantly is demonstrated by re-analysing turbulent flow PIV data reported by Urushihara et al. (1993). The in-plane resolution has been improved from 250 to 100 mu m.

258 citations


Journal ArticleDOI
TL;DR: Improvements in image contrast for multiscale imageprocessing algorithms were superior to those obtained using existing competitive algorithms and suggest that wavelet based image processing algorithms could play an important role in improving the imaging performance of digital mammography.
Abstract: Multiresolution representations provided an adaptive mechanism for the local emphasis of features of importance to mammography In general, improvements in image contrast for multiscale image processing algorithms were superior to those obtained using existing competitive algorithms These initial results are encouraging and suggest that wavelet based image processing algorithms could play an important role in improving the imaging performance of digital mammography In part 2, features blended into the mammograms were "idealized" representations of the types of objects that are of primary interest to mammographers The resultant mammographic images were appropriate for the purpose of demonstrating improved image contrast made possible by wavelet based image processing algorithms These images were also useful for comparing multiscale wavelet based algorithms with existing image processing algorithms The test results obtained in this study, however, cannot be directly extrapolated to clinical mammography In addition, it is also important to study possible image artifacts introduced by new wavelet filters, which may increase the false positive rate >

232 citations


Proceedings ArticleDOI
23 Oct 1995
TL;DR: The low resolution to high resolution problem as a maximum likelihood (ML) problem which is solved by the expectation-maximization (EM) algorithm by exploiting the structure of the matrices involved, the problem ran be solved in the discrete frequency domain.
Abstract: In this paper a solution is provided to the problem of obtaining a high resolution image from several low resolution images that have been subsampled and displaced by different amounts of sub-pixel shifts. In its most general form, this problem can be broken up into three sub-problems: registration, restoration, and interpolation. Previous work has either solved all three sub-problems independently, or more recently, solved either the first two steps (registration and restoration) or the last two steps together. However, none of the existing methods solve all three sub-problems simultaneously. This paper poses the low resolution to high resolution problem as a maximum likelihood (ML) problem which is solved by the expectation-maximization (EM) algorithm. By exploiting the structure of the matrices involved, the problem ran be solved in the discrete frequency domain. The ML problem is then the estimation of the sub-pixel shifts, the noise variances of each image, the power spectra of the high resolution image, and the high resolution image itself. Experimental results are shown which demonstrate the effectiveness of this approach.

190 citations


Patent
03 May 1995
TL;DR: In this paper, an image processing technique is described in the context of a hierarchical image storage and retrieval system, which allows for the controlled addition and removal of digital watermarks from selected image components in the hierarchy.
Abstract: An image processing technique is described in the context of a hierarchical image storage and retrieval system. The method allows for the controlled addition and removal of digital watermarks from selected image components in the hierarchy. The method adds a digital watermark in a selected image resolution component and the means to remove it in an additional image component termed the watermark removal component. The method employs the encryption of the watermark removal component, and decryption with a special key, or password during authorized retrieval. This technique allows users of a distributed system the convenience of providing the entire image hierarchy on a single storage medium permitting images containing watermarks to be accessed without restriction for browsing and proofing, while the watermark removal requires knowledge and us of a controlled code.

189 citations


Journal ArticleDOI
TL;DR: In this work, two models of the fish-eye transform are presented and the validity of the transformations is demonstrated by fitting the alternative models to a real fish-eyes lens.

Proceedings ArticleDOI
08 May 1995
TL;DR: In this article, the operational principle of a new, patented digital radiographic system using a multi-layer structure consisting of a thin-film pixel array, selenium x-ray photoconductor, dielectric layer and top electrode is described.
Abstract: The operational principle of a new, patented digital radiographic system using a multi-layer structure consisting of a thin-film pixel array, selenium x-ray photoconductor, dielectric layer and top electrode is described. Under an applied electric field, a diagnostic x-ray signal is obtained by the direct conversion of x-ray energy to electron-hole pairs which are collected as electrical charges by individual storage capacitor associated with each pixel element. The electronic readout sequence is initiated immediately after the x-ray exposure, and in several seconds, the image data is available for display on a video monitor, for data storage, data transmission, and hard copy generation. Signal strength of this direct conversion method is estimated to be significantly higher than that of other indirect conversion methods where light is first generated using a scintillator or phosphor and then detected by charge-coupled devices (CCDs) or thin-film-transistor (TFT) arrays in conjunction with photodiodes. In addition, since charges generated by x-ray photons move mostly along the direction of the bias electric field, images of very high spatial resolution can be obtained. The resolution limits are principally defined by the smallest pixel that can be manufactured. Recent x-ray images obtained from experimental detector panels are presented. X-ray sensitivity, dynamic range, signal-to-noise ratio, and spatial resolution are discussed.

Journal ArticleDOI
TL;DR: Two-dimensional homomorphic deconvolution produced substantial improvement in the resolution of B-mode images of a tissue-mimicking phantom in vitro and of several human tissues in vivo.
Abstract: Describes how two-dimensional (2D) homomorphic deconvolution can be used to improve the lateral and radial resolution of medical ultrasound images recorded by a sector scanner. The recorded radio frequency ultrasound image in polar coordinates is considered as a 2D sequence of angle and depth convolved with a 2D space invariant point-spread function (PSF). Each polar coordinate sequence is transformed into the 2D complex cepstrum domain using the fast Fourier transform for Cartesian coordinates. The low-angle and low-depth portion of this sequence is taken as an estimate of the complex cepstrum representation of the PSF. It is transformed back to the Fourier frequency domain and is used to compute the deconvolved angle and depth sequence by 2D Wiener filtering. Two-dimensional homomorphic deconvolution produced substantial improvement in the resolution of B-mode images of a tissue-mimicking phantom in vitro and of several human tissues in vivo. It was better than lateral or radial homomorphic deconvolution alone, and better than 2D Wiener filtering with a PSF recorded in vitro. >

Proceedings ArticleDOI
20 Jun 1995
TL;DR: A prototype focus range sensor has been developed that produces up to 512/spl times/480 depth estimates at 30 Hz with an accuracy better than 0.3%.
Abstract: Structures of dynamic scenes can only be recovered using a real-time range sensor. Depth-from-defocus offers a direct solution to fast and dense range estimation. It is computationally efficient as it circumvents the correspondence problem faced by stereo and feature tracking in structure-from-motion. However, accurate depth estimation requires theoretical and practical solutions to a variety of problems including the recovery of textureless surfaces, precise blur estimation, and magnification variations caused by defocusing. Both textured and textureless surfaces are recovered using an illumination pattern that is projected via the same optical path used to acquire images. The illumination pattern is optimized to ensure maximum accuracy and spatial resolution in the computed depth. The relative blurring in two images is computed using a narrow-band linear operator that is designed by considering all the optical, sensing and computational elements of the depth-from-defocus system. Defocus-invariant magnification is achieved by the use of an additional aperture in the imaging optics. A prototype focus range sensor has been developed that produces up to 512/spl times/480 depth estimates at 30 Hz with an accuracy better than 0.3%. Several experimental results are included to demonstrate the performance of the sensor. >

Patent
Masanobu Kimura1
24 Oct 1995
TL;DR: In this article, a small video camera apparatus capable of imaging an object from various angles, and effectively monitoring an object or obtaining three-dimensional image information is presented, where a light beam supplied from an optical image passing through a lens and a prism is picked up by a left image sensing surface of the charge-coupled device.
Abstract: The present invention intends to provide a small video camera apparatus capable of imaging an object from various angles, and effectively monitoring an object or obtaining three-dimensional image information. A light beam supplied from an optical image passing through a lens is picked up by a right image sensing surface of a charge-coupled device, and a light beam supplied from an optical image passing through a lens and a prism is picked up by a left image sensing surface of the charge-coupled device. The image signals obtained by the right and left image sensing surfaces are divided in a color separating and signal processing circuit.

Journal ArticleDOI
TL;DR: In this article, three designs of thermocouple cantilever probes and the thermal images obtained by each of them are presented, and experiments show that the dominant mechanism for sample-probe heat transfer is gas conduction.
Abstract: Thermocouple cantilever probes are used in the atomic force microscope (AFM) to simultaneously obtain thermal and topographical images of surfaces with submicrometer scale spatial resolution. Three designs of thermocouple AFM probes and the thermal images obtained by each of them are presented here. Experiments show that the dominant mechanism for sample‐probe heat transfer is gas conduction. If probes are not properly designed, this could lead to image distortion and loss of temperature and spatial resolution. The steady state probe behavior is dominated by the gas thermal conductivity whereas the transient effects are dominated by the thermal mass of the probe. Thermal images of single transistors show their thermal characteristics under different biasing conditions. In addition, hot spots created by short‐circuit defects within a transistor can be located by this technique. Efforts are underway to improve the spatial resolution from 0.4 to 0.05 μm by careful probe design. The results suggest that this ...

Journal ArticleDOI
TL;DR: In this paper, a blind deconvolution method was proposed to identify and remove the convolutional distortion in order to reconstruct the tissue response, thus enhancing the diagnostic quality of the ultrasonic image.
Abstract: We address the problem of improving the spatial resolution of ulrasound images through blind deconvolution. The ultrasound image formation process in the RF domain can be expressed as a spatio-temporal convolution between the tissue response and the ultrasonic system response, plus additive noise. Convolutional components of the dispersive attenuation and aberrations introduced by propagating through the object being imaged are also incorporated in the ultrasonic system response. Our goal is to identify and remove the convolutional distortion in order to reconstruct the tissue response, thus enhancing the diagnostic quality of the ultrasonic image. Under the assumption of an independent, identically distributed, zero-mean, non-Gaussian tissue response, we were able to estimate distortion kernels using bicepstrum operations on RF data. Separate 1D distortion kernels were estimated corresponding to axial and lateral image lines and used in the deconvolution process. The estimated axial kernels showed similarities to the experimentally measured pulse-echo wavelet of the imaging system. Deconvolution results from B-scan images obtained with clinical imaging equipment showed a 2.5-5.2 times gain in lateral resolution, where the definition of the resolution has been based on the width of the autocovariance function of the image. The gain in axial resolution was found to be between 1.5 and 1.9.

Proceedings ArticleDOI
30 Mar 1995
TL;DR: This method does not indicate when text is upside-down, and it also requires sampling the function at 90 degrees of rotation to measure text skew in landscape mode, but such text orientation can be determined by noting that Roman characters in all languages have many more ascenders than descenders, and using morphological operations to identify such pixels.
Abstract: Several approaches have previously been taken for identifying document image skew. At issue are efficiency, accuracy, and robustness. We work dire ctly with the image, maximizing a function of the number of ON pixels in a scanline. Image rotation is simulated by either vertical shear or accumulation of pixel counts along sloped lines. Pixel sum differences on adjacent scanlines reduce isotropic background noise from non-text regions. To find the skew angle, a succession of values of this function are found. Angles are chosen hierarchically, typically with both a coarse sweep and a fine angular bifurcation. To inc rease efficiency, measurements are made on subsampled images that have been pre-filtered to m aximize sensitivity to image skew. Results are given for a large set of images, including multiple and unaligned text columns, graphics and large area halftones. The measured intrinsic angular error is inversely proportional to the number of sampling points on a scanline. This method does not indicate when text is upside-down, and it also requires sampling the function at 90 degrees of rotation to measure text skew in landscape mode. However, such text orientation can be determined (as one of four directions) by noting that roman characters in all languages have many more ascenders than descenders, and using morphological operations to identify such pixels. Only a small amount of text is required for accurate statistical determination of orientation, and images without text are identified as such.

Journal ArticleDOI
TL;DR: In this article, a three-dimensional forest backscatter model, which takes full account of the spatial position of trees in a forest stand, is described, and the model gives reasonable prediction of backscattering coefficients averaged over the entire stand with agreement between model and data within 1.35 dB for all channels.
Abstract: three-dimensional forest backscatter model, which takes full account of spatial position of trees in a forest stand is described. A forest stand was divided into cells according to arbitrary spatial resolution. The cells may include "crown," "trunk," and "gap" components, determined by the shape, size and position of the trees. The forest floor is represented by a layer of "ground" cells. A ray tracing method was used to calculate backscattering components of 1) direct crown backscatter, 2) direct backscattering from ground, 3) direct backscattering from trunk, 4) crown-ground scattering, and 5) trunk-ground scattering. Both the attenuation and time-delay of microwave signals within cells other than "gap" were also calculated from ray tracing. The backscattering Mueller matrices of these components within the same range intervals were incoherently added to yield the total backscattering of an image pixel. By assuming a zero-mean, multiplicative Gaussian noise for image speckle, the high-resolution images were aggregated to simulate a SAR image with a given spatial resolution and number of independent samples (looks). A well-characterized 150 m x 200 m forest stand in Maine, USA, was used to parameterize the model. The simulated radar backscatter coefficients were compared with actual JPL SAR data. The model gives reasonable prediction of backscattering coefficients averaged over the entire stand with agreement between model and data within 1.35 dB for all channels. The correlations between simulated images and SAR data (10 by 15 pixels) were positive and significant at the 0.001 level for all frequencies (P, L, and C bands) and polarizations (HH, HV, and VV).

Journal ArticleDOI
TL;DR: In this article, a geometrical-optical model of the forest was first used to generate images of artificial forest stands in order to establish the relation between tree size and image texture.
Abstract: The height and stocking of forest stands can be estimated with relatively high precision using an empirical model relating parameters extracted from the directional variogram of high resolution images and forest structure parameters. A geometrical-optical model of the forest was first used to generate images of artificial forest stands in order to establish the relation between tree size. tree density and image texture. The resulting equations were then applied on the computer generated images as well as on high resolution MEIS II images to predict the forest structure values. The results show a good concordance between actual and predicted values, even when spatial resolution was degraded from 0·36m to 2·16m.

Patent
08 Mar 1995
TL;DR: In this article, a two-dimensional digital hysteresis filter utilizing a "two-dimensional automatically adjusting variable mask" is proposed. But the filter is independent of the image size and content and cannot alter the size of any significant intensity features.
Abstract: Two smoothed images, each processed with a different smoothing factor, can be subtracted providing the information difference between the least smoothed and the most smoothed data set. The smoothing technique of this invention comprises a two-dimensional digital hysteresis filter utilizing a 'two-dimensional automatically adjusting variable mask'. As a result, the filter is independent of the image size and content and cannot alter the size of any significant intensity features. The two-dimensional hysteresis smoothing technique of this invention calculates the intensity value of smoothed pixels using a set of one-dimensional hysteresis lines at various angles running through each pixel in an image. These one-dimensional hysteresis values are added together and divided by the number of hysteresis lines to get the output value for the pixel. The intensity processing technique provides the basis for a separation of the image information into basic information groups.

Journal ArticleDOI
TL;DR: In this article, a large-aperture x-ray TV-type detector was developed for diffraction with synchrotron radiation, which consists of a beryllium-windowed xray image intensifier, an optical lens, a charge coupled device (CCD) image sensor, and data acquisition system.
Abstract: A large‐aperture (150 mm and 230 mm in diameter) x‐ray TV‐type detector has been developed for x‐ray diffraction with synchrotron radiation. The detector consists of a beryllium‐windowed x‐ray image intensifier, an optical lens, a charge coupled device (CCD) image sensor, and data acquisition system. The spatial resolution is 270 μm(FWHM), and the dynamic range is 6000:1. The noise level is quantum limited. The nonuniformity of response and image distortion is corrected by software. When a TV‐rate (NTSC‐mode) CCD is used as an image sensor, time‐resolved measurements with a rate of 30 frame/s can be achieved with its noise quantum limited.

Journal ArticleDOI
TL;DR: A method to approximate the atmospheric PSF's without the need to resort to a Monte Carlo simulation is described and an algorithm that uses the PSF to correct high-contrast images for adjacency effects is developed and applied to an AVIRIS image of Big Pine Key in the Florida Keys.
Abstract: Monte Carlo techniques are used to simulate atmospheric point-spread functions (PSF’s) that are appropriate for the viewing geometries typical of the Airborne Visible–Infrared Imaging Spectrometer (AVIRIS). A model sensor is located at an altitude of 20 km and views a Lambertian surface through a horizontally homogeneous and vertically stratified atmosphere. Simulations show the effects on the PSF of variation of the aerosol phase function, the aerosol optical thickness, the sensor viewing angle, and the wavelength. An algorithm that uses the PSF to correct high-contrast images for adjacency effects is developed and applied to an AVIRIS image of Big Pine Key in the Florida Keys. A method to approximate the atmospheric PSF’s without the need to resort to a Monte Carlo simulation is described. Correction of the AVIRIS image through the use of the approximated PSF is consistent with a previous correction. Error analysis is difficult and scene dependent; however, the correction algorithm is shown to be capable of indicating regions of high-contrast images in which conventional estimates of surface-leaving radiance are likely to be unreliable due to adjacency effects.

Patent
21 Nov 1995
TL;DR: In this article, an improved CCD-based x-ray image sensor system enables the use of an uncooled or only slightly cooled CCD array within a standard size xray film cassette.
Abstract: An improved CCD-based x-ray image sensor system enables the use of an uncooled or only slightly cooled CCD array (18a) within a standard size x-ray film cassette (1). The sensor system provides a number of advanced functions such as remote diagnostic capability, variable image resolution, real-time exposure control, automatic x-ray detection, a low-power "sleep" mode, and automatic, closed loop optimization of image quality.

Journal ArticleDOI
TL;DR: In this paper, the authors explore the attainable spatial resolution in the elemental maps theoretically and experimentally, and suggest optimized set-up procedures for maximizing the resolution of the resolution.
Abstract: SUMMARY Imaging filters developed over the last few years permit rapid elemental mapping by energy-filtering transmission electron microscopy (EFTEM), with resolution and sensitivity limited primarily by the sample and by the TEM. We explore the attainable spatial resolution in the elemental maps theoretically and experimentally, and suggest optimized set-up procedures for maximizing the resolution. The chromatic aberration of the objective lens of the microscope is shown to be a major limit. Its influence can be minimized by using small energy intervals and limited collection angles, but this is done at the cost of decreased collection efficiency. Resolution of better than 1 nm and sensitivities to less than a monolayer of elements with favourable edges are readily attainable in elemental maps obtained with acquisition times of 40 s total and less. Resolution better than 0·5 nm should be attainable with further optimization of the acquisition parameters.

Journal ArticleDOI
TL;DR: A variety of designs for polarization camera sensors that have been built to automatically sense partial linearly polarized light, and computationally process this sensed polarization information at pixel resolution to produce a visualization of reflected polarization from a scene, and/or a visualize of physical information in a scene directly related to sensed polarization.

Journal ArticleDOI
TL;DR: An image coder in which the causal similarity among blocks of different subbands in a multiresolution decomposition of the image is exploited and the subband pyramid acts as an automatic block classifier, thus making the block search simpler and the block matching more effective.
Abstract: The redundancy of the multiresolution representation has been clearly demonstrated in the case of fractal images, but it has not been fully recognized and exploited for general images. Fractal block coders have exploited the self-similarity among blocks in images. We devise an image coder in which the causal similarity among blocks of different subbands in a multiresolution decomposition of the image is exploited. In a pyramid subband decomposition, the image is decomposed into a set of subbands that are localized in scale, orientation, and space. The proposed coding scheme consists of predicting blocks in one subimage from blocks in lower resolution subbands with the same orientation. Although our prediction maps are of the same kind of those used in fractal block coders, which are based on an iterative mapping scheme, our coding technique does not impose any contractivity constraint on the block maps. This makes the decoding procedure very simple and allows a direct evaluation of the mean squared error (MSE) between the original and the reconstructed image at coding time. More importantly, we show that the subband pyramid acts as an automatic block classifier, thus making the block search simpler and the block matching more effective. These advantages are confirmed by the experimental results, which show that the performance of our scheme is superior for both visual quality and MSE to that obtainable with standard fractal block coders and also to that of other popular image coders such as JPEG. >

Patent
05 Dec 1995
TL;DR: In this article, a method is described for operating on a stored digital image produced by scanning the photographic element to smooth film-grain noise by extracting and storing a set of statistics of the grain pattern of the film type carrying the image, such statistics being representative of local, spatial and spectral properties of a photographic grain pattern.
Abstract: A method is disclosed of operating on a stored digital image produced by scanning the photographic element to smooth film-grain noise. The method includes extracting and storing a set of statistics of the grain pattern of the film type carrying the image, such statistics being representative of local, spatial, and spectral properties of a photographic grain pattern of that type of film; and using the stored set of statistics to operate upon the stored digital image to change such stored digital image so as to smooth film-grain noise when an image is produced.

Patent
07 Nov 1995
TL;DR: In this paper, a digital image of a selected view is presented as an array of pixels with associated pixel values, and position information is incorporated in the digital image by altering selected pixel bit values for a selected pattern of pixels in the pixel array, or as any other suitable overlay; and this selected pattern may be determined using the position information.
Abstract: Apparatus for capturing and authenticating a visual image of a selected view, using a digital image forming means, such as a digital camera, together with a position determining system that provides position information, including location, angular orientation and/or time of observation at the time a digital image is formed by the digital camera. Once captured, the visual or electronic image and accompanying position information cannot be deleted or altered within the digital camera and can be downloaded only by an authorized downloader. The digital image of the selected view is presented as an array of pixels with associated pixel values. The position information may be incorporated in the digital image by altering selected pixel bit values for a selected pattern of pixels in the pixel array, or as any other suitable overlay; and this selected pattern may be determined using the position information. The position information may be encrypted, using an encryption key based on position information, and may be stored as part of the digital image. Optionally, position information includes the distance from the digital camera to a selected object in the selected view.

Patent
24 Oct 1995
TL;DR: In this article, a hand held label reader is capable of illuminating a label (20), capturing a digital image of two-dimensional information indicia (15) on the label, and decoding the digital image to provide decoded output data to a terminal.
Abstract: A hand held label reader (10) is capable of illuminating a label (20), capturing a digital image of two-dimensional information indicia (15) on the label, and decoding the digital image to provide decoded output data to a terminal (35). The target label is illuminated by a low variation illuminator that includes a circular LED array mounted behind a plano-convave dispersing lens. The automatic electronic camera, which includes a CCD camera and control circuitry, uses three images to adjust the intensity of the digital image and store a properly exposed image of the label in video RAM. The intensity of the digital image is adjusted by controlling the video system gain via adjusting the CCD array's integration time, the gain of a video amplifier, and the gain provided by an analog-to-digital converter. The gain provided by the analog-digital-converter is adjusted to compensate for the attenuation of light through the camera's lens assembly. For the first image, the digital image is obtained using a default setting for the gain. The image intensity is analyzed using a histogram process and new gain settings are determined. The second image is obtained using the gain settings derived from the first image. The second image intentity is analyzed and the gain settings are adjusted. These settings are used for the third video image. The digital image from the third image is stored in video RAM, where it is available to be decoded by a microprocessor.