scispace - formally typeset
Search or ask a question

Showing papers on "Isotropic etching published in 1980"


Journal ArticleDOI
TL;DR: In this article, the authors investigated the performance of C2F6-Cl2 plasmas for plasma etching of polycrystalline silicon films for fabrication of silicon gate MOS integrated circuits with emphasis on fine line devices.
Abstract: Plasma etching of polycrystalline silicon films for fabrication of silicon gate MOS integrated circuits has been studied with emphasis on fine‐line devices. CF4–O2 plasmas, commonly used for etching silicon, are unacceptable for very fine features because the etching is isotropic and load dependent. This results in substantial undercutting and insufficient dimensional control. Several alternative gases were investigated in a parallel–plate reactor. CF3Cl and a 70% CF3Br–30% He mixture were found to provide selectivities of 30:1 and 16:1, respectively, over thermal SiO2, freedom from loading effects and a large vertical to lateral etch rate anisotropy which minimizes undercutting. Extensive measurements of etch rate and edge profile as a function of gas composition were made for C2F6–Cl2 plasmas. Fully anisotropic etching (zero lateral etch rate) was observed at low Cl2 concentrations with a selectivity ≳6:1 over thermal SiO2 when using conventional photoresist masks. The vertical and lateral etch rates an...

131 citations


Patent
Donald M. Kenney1
28 Jul 1980
TL;DR: In this article, a method for providing high density dynamic memory cells which provides self-alignment of both V-MOSFET device elements and their interconnections through the use of a device-defining masking layer having a plurality of parallel thick and thin regions.
Abstract: A method for providing high density dynamic memory cells which provides self-alignment of both V-MOSFET device elements and their interconnections through the use of a device-defining masking layer having a plurality of parallel thick and thin regions. Holes are etched in portions of the thin regions with the use of an etch mask defining a plurality of parallel regions aligned perpendicular to the regions in the masking layer. V-MOSFET devices having self-aligned gate electrodes are formed in the holes and device interconnecting lines are formed under the remaining portions of the thin regions. A combination of anisotropic etching and directionally dependent etching, such as reaction ion etching, may be used to extend the depth of V-grooves. A method of eliminating the overhang of a masking layer after anisotropic etching includes the oxidation of the V-groove followed by etching to remove both the grown oxide and the overhang is also disclosed.

97 citations


Journal ArticleDOI
TL;DR: In this paper, the angular etch dependence of a directional reactive ion etch (RIE) at oblique angles has been investigated using a simple grid-covered structure (Faraday cage) in conventional parallel plate sputter etching equipment.
Abstract: Directional reactive ion etching (RIE) at oblique angles is possible using a simple grid‐covered structure (Faraday cage) in conventional parallel‐plate sputter etching equipment. Oblique‐angle etching, as is possible in any ion‐beam system, has been demonstrated using the etchant ions from CHF3 gas on a fused‐silica substrate. The first detailed measurements of the angular etch dependence of RIE show a strong similarity to those of an ion‐beam system (no chemical etching).

81 citations


Patent
07 Apr 1980
TL;DR: In this article, isotropic etching of monocrystalline silicon (48) and doped or undoped polycrystalline (54) is achieved by utilizing a fluorine-containing gaseous compound in a plasma etching process.
Abstract: By utilizing a fluorine-containing gaseous compound in a plasma etching process, isotropic etching of monocrystalline silicon (48) and doped or undoped polycrystalline silicon (54) is achieved. The etching processes, which are applicable, for example, to pattern delineation in the processing of semiconductor wafers, are substantially free of any proximity effects and are characterized by a high etching rate at relatively low power levels, high selectivity (with respect to, for example, silicon dioxide) and excellent uniformity. By mixing other gases (for example, chlorine) with the fluorine-containing gas, the amount of undercutting achieved during the etching process can be selectively controlled.

77 citations


Journal ArticleDOI
TL;DR: Striking rate differences in gaseous plasma etching are found between undoped and Ag-photodoped Se-Ge inorganic photoresist as discussed by the authors, where the plasma etch rate almost disappears and the etch ratio reaches 370:1.
Abstract: Striking rate differences in gaseous plasma etching is found between undoped and Ag‐photodoped Se‐Ge inorganic photoresist. After the Ag photodoping, the plasma etch rate almost disappears and the etch rate ratio reaches 370:1. This leads to the ’’dry development’’ of the Se‐Ge inorganic resists. It is shown that by using the plasma etching technique, fine pattern delineation of less than 1‐μm linewidth is easily possible. Several advantages over wet chemical processing are expected in process simplification and reproducibility.

73 citations


Journal ArticleDOI
TL;DR: In this article, the influence of chromium and chromium oxide films and gas compositions on plasma etching characteristics was investigated and the contour and reverse etches were shown to be anomalous with tungsten impurities.
Abstract: The influences of chromium and chromium oxide films and gas compositions on plasma etching characteristics were investigated. Oxygen as well as chlorine is found to be responsible for etching. One possible etching reaction is proposed, in which a basic reaction product is assumed to be CrO2Cl2 which will be volatile in gas plasma. The impurities such as W, Fe, and Cu contained in the film become nonvolatile compounds which accumulate on the surface of the film and form a masking layer resulting in a suppression of the reaction. Anomalous etching modes called the contour and reverse etches were found with the chromium oxide films containing tungsten impurities. The mechanism of these anomalous etching modes is discussed, in which the accumulation of the tungsten compounds on the surface of the film is a basic mechanism. The reverse etch mode was applied to the fabrication of photomasks for MOS LSI.

54 citations


Journal ArticleDOI
TL;DR: In this article, the reactivity of the incident ions combining fluorocarbons with low reactive halogens was used for selective sputter etching of Si, poly-Si, and Mo relative to SiO2 in high-accuracy pattern transfer.
Abstract: Etching characteristics with selectivity similar to conventional CF4 plasma etching but without undercutting are realized by plasma‐reactive sputter etching, based on the reactivity of the incident ions combining fluorocarbons with low reactive halogens. In particular, the method with CBrF3 is practically useful for selective etching of Si, poly‐Si, and Mo relative to SiO2 in high‐accuracy pattern transfer.

54 citations


Journal ArticleDOI
TL;DR: In this article, the etching of SiO2 and Si3N4 on Si has been realized by enhancing the etch reaction with the effects of etching table materials (Teflon, carbon) and mixing gas (C2H4, CH4, etc.).
Abstract: Plasma reactive sputter etching, sputter etching using Freon (CF4, C2F6, etc.) instead of argon as the etching gas, has been investigated. It has been found that there exists an etching reaction caused by energetic ions (CFn+ etc.) different from reactions found in conventional methods. Selective etching of SiO2 and Si3N4 on Si has been realized by enhancing the etching reaction with the effects of etching table materials (Teflon, carbon) and mixing gas (C2H4, CH4, etc.). Pattern transfer from mask to underlying substance, at least in selective SiO2 etching, can be performed uniformly without undercutting and with almost no lateral shift of pattern edges, with higher accuracy than in conventional plasma and sputter etching.

50 citations


Journal ArticleDOI
TL;DR: In this paper, chemical etching techniques were developed for fabricating GaInAsP/InP DH lasers which aim at single (transverse and longitudinal) mode oscillations and their integrations.
Abstract: Chemical etching techniques were developed for fabricating GaInAsP/InP DH lasers which aim at single (transverse and longitudinal) mode oscillations and their integrations. About fifty to sixty etching solutions were tested by examining the flatness of the etched surface. The volume ratio 1 : 2 : 1 in a combination of HCl, CH3COOH, and H2O2 was found to be most suitable for our purpose. Its etching rate for InP and GaxIn1-xAsyP1-y was in proportion to t0.6~0.8 at about 20°C, where t is the etching time, and it also etched Au/Zn or Au/Sn electrodes with an etching rate of 10-20 A/s at about 20°C.

45 citations


Journal ArticleDOI
TL;DR: In this paper, the etch selectivity and the shape of the etched profile can be optimized by a proper choice of parameters, such as temperature, density, and shape of profile.
Abstract: Dry etching or plasma‐assisted etching (ion beam milling, plasma etching and reactive sputter etching) has become an indispensable preparation technique for high resolution pattern transfer in IC manufacturing and other areas of microfabrication. Etching can either be due to the purely physical process of momentum transfer from ions to the solid surface (e.g. inert ion etching) or due to chemical reactions of reactive species, produced in the plasma, with the solid surface resulting in a volatile reaction product (e.g. plasma etching in a barrel reactor). However, in most dry etching methods both effects play a major role and it is possible to optimize etch selectivity and the shape of the etched profile by a proper choice of parameters.

37 citations


Patent
19 May 1980
TL;DR: In this paper, a method and apparatus for monitoring a dry etching process using gas plasma is presented, where a ratio of a spectrum intensity which varies depending on the process of the etching to a spectrum intensities which are independent of the process is determined and a resulting signal intensity is monitored.
Abstract: Method and apparatus for monitoring a dry etching process using gas plasma, wherein a ratio of a spectrum intensity which varies depending on the process of the etching process to a spectrum intensity which is independent of the process of the etching process is determined and a resulting signal intensity is monitored. The completion of the etching process can be exactly determined irrespective of variation of the etching conditions.

Patent
28 Jul 1980
TL;DR: In this paper, a process for dry etching an aluminum film or an aluminum based film in the production of a semiconductor device, wherein a mixed gas of carbon chloride and boron chloride is used as the etchant gas, is described.
Abstract: A process for dry etching an aluminum film or an aluminum based film in the production of a semiconductor device, wherein a mixed gas of carbon chloride and boron chloride is used as the etchant gas.

Patent
Kazuo Tokitomo1, Ryoji Abe1
21 Jul 1980
TL;DR: In this paper, the use of a reaction gas mixture which ensures equal etching rates provides a flat surface at the finish of the etching process is discussed. But the authors do not consider the effect of the mixture of reaction gas on the surface of the photoresist.
Abstract: A layer of photoresist 16 having a generally flat surface is formed on a layer of PSG 15 having a projection thereon. A non-selective dry etching process using a reaction gas is effected in which a layer 16 and layer 15 are etched at equal rates. The etching process may be plasma etching using a mixture of a flouride compound gas and oxygen gas. Reactive sputter etching or reactive ion etching can also be used. The photoresist of layer 16 could be replaced by polyimide or liquid glass. PSG layer 15 could alternatively be a layer of polycrystal line silicon or wiring conductor. In each case, the use of a reaction gas mixture which ensures equal etching rates provides a flat surface at the finish of etching.

Patent
28 Oct 1980
TL;DR: In this article, a multilevel metallization process which allows fabrication of several types of high density MOS and bipolar integrated circuits is described. But the process uses a pad located under the inter-layer contact opening, and the material of the pad is poly-silicon (doped or undoped), a refractory metal, or a refractive metal silicide which is not capable of being attacked during chemical etching of the metallisation layers.
Abstract: A multilevel metallization process which allows fabrication of several types of high density MOS and bipolar integrated circuits. The process uses a pad located under the inter-layer contact opening. The material of the pad is poly-silicon (doped or undoped), a refractory metal, or a refractory metal silicide which is not capable of being attacked during chemical etching of the metallization layers. If poly-silicon is used, it is either doped during its deposition or during contact doping, or it is automatically silicided during ohmic and Schottky contact formations.

Journal ArticleDOI
TL;DR: In this article, the effects of the main parameters, such as electric field strength and frequency as applied to the fast-neutron-induced recoil-particle tracks in polycarbonate foils of different thicknesses using different power supplies were investigated.

Patent
20 Aug 1980
TL;DR: In this paper, an ion bombardment of a substrate placed in a vacuum chamber is used for dry chemical etching caused by ion bombardment, where the substrate is in contact with an electrode, connected to a high frequency bias voltage source having one terminal connected to the ground of the chamber.
Abstract: Apparatus for dry chemical etching caused by ion bombardment of a substrate placed in a vacuum chamber. The substrate is in contact with an electrode, connected to a high frequency bias voltage source having one terminal connected to a ground of the chamber. The etchants are produced in the form of plasma by an electrical discharge maintained in the chamber containing a gas or a suitable gaseous mixture. The plasma is produced by a microwave generator and the bias voltage by means of a high frequency source. The respective amplitudes and frequencies of the two sources enable the base of a groove on an electronic circuit to be etched without erosion of the groove.

Journal ArticleDOI
TL;DR: In this paper, the defect properties of silicon films grown by molecular beam epitaxy are examined by Wright chemical etching and scanning electron microscope, and the following results are obtained: 1) The preheat treatment of substrates with oxides can drastically reduce the stacking fault and dislocation densities in Si films.
Abstract: Crystal defect properties of silicon films grown by molecular beam epitaxy are examined by Wright chemical etching and scanning electron microscope, and the following results are obtained. 1) The preheat treatment of substrates with oxides can drastically reduce the stacking fault and dislocation densities in Si films. The unevaporated SiO2 is a major source of these defects. 2) These defect densities decrease with an increase in deposition temperature. 3) The dependences of the defects on the residual gas pressure and the crystal faces of the substrates suggest that oxygen from the residual gas may form SiO2 which acts as the source of the defects in the films. 4) Ion doping during Si growth reduces the stacking fault densities in the films. 5) High quality Si epitaxial films whose defect densities are less than 103 cm-2 are obtained.

Patent
16 Dec 1980
TL;DR: In this paper, a method for planarizing a non-uniform thickness of oxide, for example silicon dioxide as is formed over oxide-filled trenches used in deep dielectric isolation in integrated circuits, is presented.
Abstract: The present invention provides a method for planarizing a non-uniform thickness of oxide, for example silicon dioxide as is formed over oxide-filled trenches used in deep dielectric isolation in integrated circuits. The oxide is removed by a planarizing resist-etching process so that etching in thicker resist areas proceeds at a rate slower than etching in thinner resist areas. A referred etchant is HF gas and etching is preferably at an elevated temperature.

Journal ArticleDOI
TL;DR: A nonplasma silicon dioxide etch process using anhydrous hydrogen fluoride at reduced pressures has been investigated in this article, which involves the interaction of HF vapor with negative photoresist which catalizes subsequent etching beneath the photoresists.
Abstract: A nonplasma silicon dioxide etch process using anhydrous hydrogen fluoride at reduced pressures has been investigated. This technique involves the interaction of HF vapor with negative photoresist which catalizes subsequent etching beneath the photoresist. Etching in nonphotoresist coated areas can be eliminated by a short in situ plasma pretreatment followed by HF etching at 190 °C, 10 Torr, and 500 sccm in a commercial etch system. Experimental details as well as a proposed reaction mechanism will be presented.

Journal ArticleDOI
TL;DR: In this paper, the Schottky-barrier diodes using aluminum on p-type polycrystalline silicon have been fabricated and compared with the surface morphology of the substrate to identify the influence of grain boundaries, and the experimental data indicate that recombination centers and traps are introduced, resulting in an increase in recombination current and reduction of the effective mobility.
Abstract: Schottky-barrier diodes using aluminum on p-type polycrystalline silicon have been fabricated. The contrast of the orientation of neighboring grains is observed after chemical etching of the surface. Comparing the surface morphology of the substrate with the electronic behavior of the Schottky diode, we are able to identify the influence of grain boundaries. It is found that the low-angle boundary has little effect on the I-V characteristics since near ideal Schottky I-V curves are obtained. The barrier height is calculated to be 0.83 V which is higher than that of the single-crystal substrate. The ideality factor is 1.17 for a device containing a twin and low-angle boundaries. The high-angle grain boundary, however, significantly alters both the I-V and low-frequency C-V plots. The experimental data indicate that recombination centers and traps are introduced, resulting in an increase in recombination current and a reduction of the effective mobility. The conduction mechanisms for the two types of diodes are clearly distinguishable both in the dark and under illumination. In the photovoltaic operation under a tungsten lamp, we obtain an open-circuit voltage of 0.48 V and a fill factor 0.51. It appears that the chemical etching along with Schottky-barrier fabrication will provide a useful method to study the polycrystalline substrate for low-cost solar cell applications.

Patent
John Zajac1
22 Feb 1980
TL;DR: In this paper, a polysilicon substrate is exposed to plasmas of carbon tetrachloride, chlorinated gas, fluorinated gas or a gas capable of generating both chlorinated and fluorinated plasma species.
Abstract: In an improved process for the etching of polysilicon substrates, a polysilicon substrate is exposed to plasmas of carbon tetrachloride, chlorinated gas, fluorinated gas or a gas capable of generating both chlorinated and fluorinated plasma species. The combination of a chlorinated and fluorinated etching species substantially reduces undercutting of polysilicon substrates. Improved uniformity of polysilicon etching is also achieved.

Patent
09 Jun 1980
TL;DR: In this paper, a process for patterning plasma etchable regions on a semiconductor structure includes the steps of forming a layer of an oxide of aluminum over the surface of the semiconductor, forming an overlying layer of plasma-able material on the layer of oxide, and removing undesired portions of the overlying layers by plasma etching to expose portions of oxide.
Abstract: A process for patterning plasma etchable regions on a semiconductor structure includes the steps of forming a layer of an oxide of aluminum over the surface of the semiconductor structure, forming an overlying layer of plasma etchable material on the layer of oxide, and removing undesired portions of the overlying layer by plasma etching to thereby expose portions of the layer of oxide. In some embodiments of the invention the thereby exposed portions of the layer of oxide are then removed, together with any underlying portions of the first layer, by isotropic etching.

Journal ArticleDOI
TL;DR: In this article, a single crystal of germanium grown with a cellular interface is described, and it is shown that the pattern of microsegregation depends strongly on both the interface morphology and on the freezing range of the solute-solvent system.

Patent
29 Sep 1980
TL;DR: In this article, a jet etch apparatus and method for decapsulation of molded devices having an etching block through which an etchant solution is drawn is described, which is drawn through the etch block by means of suction created by a jet pump.
Abstract: A jet etch apparatus and method for decapsulation of molded devices having an etching block through which an etchant solution is drawn. The etchant solution which flows through the etching block forms a jet spray that impinges upon a device to be decapsulated. The etchant solution is drawn through the etching block by means of suction created by a jet pump. The decapsulation process is monitored by detecting current flow between an electrode in the etchant solution and the device. The imposed current also serves to limit excessive oxidation of aluminum pads within the device, thereby preventing attack of the aluminum by the etchant.

Journal ArticleDOI
TL;DR: In this article, the response to gamma radiation of cellulose nitrate used as a solid-state nuclear track detector (SSNTD) was studied for doses between 0 and 50 Mrad.

Journal ArticleDOI
TL;DR: In this paper, undercutting phenomena in Al plasma etching were investigated and an hypothesis that a film observed on an Al pattern sidewall acts as a protection against undercutting was proposed.
Abstract: Undercutting phenomena in Al plasma etching were investigated. Photoresist, SiO2 and Si3N4 were used for etching masks. PSG, Si(100), Si3N4 and Al2O3 were used for underlying layers. In various combinations of etching masks and underlying layers, only photoresist mask exhibits no undercutting. However, even in this case, undercutting occurs according to over-etching time and pattern density. An hypothesis that a film observed on an Al pattern sidewall acts as a protection against undercutting was proposed. Undercutting phenomena were easily explained by this hypothesis.

Patent
09 Sep 1980
TL;DR: In this paper, a method for forming a electrical interconnections on one semiconductor substrate is described, which consists of forming a number of semiconductor circuit elements on one substrate, depositing an electrically conductive layer on substantially the entire surface of the substrate, etching the layer patterned by the photolithography, and terminating etching of the electrically-conductive layer when said current largely decreases or continuing etching for a certain time after said current gradually decreases and is reduced below a determined level.
Abstract: A method for forming a electrical interconnections, according to the invention, comprises forming number of semiconductor circuit elements on one semiconductor substrate, depositing an electrically conductive layer on substantially the entire surface of the semiconductor substrate, etching the electrically conductive layer patterned by the photolithography, for example, coating a photoresist on the electrically conductive layer, placing a mask of a pattern of electrical connecting lines on the photoresist-coated conductive layer and exposing the assembly to actinic rays to effect a development treatment, then placing one electrode composed of the electrochemically same material as that of the electrically conductive layer so that at least one point of said one electrode is brought into contact with the electrically conductive layer, dipping the assembly in an etching solution while using as the other electrode an electric conductor composed of a material electrochemically different from the material of the electrically conductive layer, performing wet chemical etching of the electrically conductive layer while measuring an electric current flowing between the two electrodes, and terminating etching of the electrically conductive layer when said current largely decreases or continuing etching for a certain time after said current largely decreases and is reduced below a timely determined level whereby electrical interconnections are formed for the respective semiconductor circuit elements on the semiconductor substrate.

Journal ArticleDOI
TL;DR: The composition of tin-contact surfaces of production float glass has been characterized by means of the ellipsometric refractive index and XPS measurement of cation concentrations as discussed by the authors, which has been used to characterize the composition of float glass.
Abstract: The composition of tin-contact surfaces of production float glass has been characterized by means of the ellipsometric refractive index and XPS measurement of cation concentrations. Successive layers under the outer surface were exposed by ion etching, mechanical polishing and chemical etching, and depths of those layers between 0.01 and 0.5 μm were determined by optical interferometry. Tin concentrations at the surface, expressed as SnO 2 , exceeded 30 weight % but decreased by an order of magnitude at about 0.01 μm. Over the range of 0.01 to 0.5 μm, SnO 2 content decreased regularly to about 2%. Over most of this same thickness, Na and Ca levels remained well below those of the bulk composition.

Journal ArticleDOI
TL;DR: Silicon ribbon growth in the Inverted Stepanov (IS) configuration is described in this article, in which nonwetting shape guides (or dies) are used, and silicon ribbons, 2 cm wide and 0.05 cm thick were grown reproducibly using a pyrolytic BN die.

Patent
31 Dec 1980
TL;DR: In this article, a gaseous medium containing at least one component which forms hydrogen fluoride when sufficiently heated to the surface to be treated, while an etching zone which covers only a portion of the surface is thus heated, so that the hydrogen fluoride etches the surface only at the etch zone.
Abstract: A process of treating surfaces of silica or silicate glass, especially in preparation for subsequent coating in the manufacture of optical waveguides includes introducing a gaseous medium containing at least one component which forms hydrogen fluoride when sufficiently heated to the surface to be treated, while an etching zone which covers only a portion of the surface is thus heated, so that the hydrogen fluoride etches the surface only at the etching zone. The temperature is so selected that silicon tetrafluoride formed during the etching is oxidized and the resultant silicon dioxide is deposited from the gaseous medium onto the surface outside of the etching zone to form a fused fluorine-doped vitreous layer on the previously etched portion of the surface.