scispace - formally typeset
Search or ask a question

Showing papers on "Isotropic etching published in 1991"


Journal ArticleDOI
P. Jakob1, Yves J. Chabal1
TL;DR: In this article, the authors used infrared spectroscopy to study the etching process of stepped Si(111)9° surfaces as a function of the pH of the HF solutions.
Abstract: Infrared spectroscopy is used to study the etching process of stepped Si(111)9° surfaces as a function of the pH of the etching HF solutions. This process results in complete H termination of the silicon surface, including terraces, steps, and defects; the surface structure can therefore be well studied using infrared (IR) spectroscopy. Polarized IR absorption spectra of the Si–H stretching vibrations (i.e., in the region 2060–2150 cm−1) vary dramatically as the pH of the etching solutions increases from 2.0 to 7.8. In general, higher pH solutions yield sharper bands and more easily assigned spectra, making it possible to identify the step and terrace species and thus to infer the surface structure and step morphology (i.e., to investigate the etching process). The data are explained by a model involving different etching rates for each individual surface species: The highest rate of removal is for isolated adatom defects located on (111) planes and the lowest is for the ideally H‐terminated (111) planes ...

280 citations


Journal ArticleDOI
TL;DR: In this article, the localized charging of a rectangular trench during the plasma etching of a perfectly insulating surface was modeled assuming an isotropic electron flux and monodirectional ion bombardment.
Abstract: The localized charging of a rectangular trench during the plasma etching of a perfectly insulating surface was modeled assuming an isotropic electron flux and monodirectional ion bombardment. The field set up by the localized charging acts to deflect arriving ions, modifying the ion flux densities within the feature, and thus, etching rates. Preliminary simulations indicate that this may be important in the shaping of etching profiles.

268 citations


Journal ArticleDOI
TL;DR: In this paper, it was shown that UV-excited porous Si (PS) exhibits an efficient visible photoluminescence (PL) at room temperature, which can be interpreted as a result of quantum size effects in PS.
Abstract: It is shown that UV-excited porous Si (PS) exhibits an efficient visible photoluminescence (PL) at room temperature. The PS layers were formed by anodization of p-type and n-type single-crystal Si wafers in aqueous HF solutions. The peak wavelength of PL spectra depends on the anodization parameters including the resistivity and the conduction type of Si substrates. The PL spectra can be tuned to a higher energy side by either adjustment of the anodizing conditions or chemical etching after anodization. These remarkable results can be interpreted as a result of quantum size effects in PS.

154 citations


Journal ArticleDOI
TL;DR: In this paper, the authors studied the effect of immersion in water, following aqueous HF etching, on the surface hydride structure and flatness, by measuring Si-H stretching vibration using infrared absorption spectroscopy.
Abstract: Aqueous HF etching of silicon surface removes surface oxide, leaving a silicon surface terminated by atomic hydrogen. We studied the effect of the immersion in water, following HF etching, on the surface hydride structure and flatness, by measuring Si‐H stretching vibration using infrared absorption spectroscopy. Immersion at 20 °C flattens the Si(111) surface, which is atomically rough just after etching, to some extent. Boiling water (100 °C) produces an atomically flat surface homogeneously covered with silicon monohydride (—SiH) normal to the surface and free of oxidation. The surface has a low defect density of less than 0.5%.

150 citations


Journal ArticleDOI
TL;DR: In this article, a low-temperature electron-cyclotron-resonance microwave plasma etching and reactive ion beam etching were described for ULSI device fabrication.
Abstract: Low‐temperature electron‐cyclotron‐resonance microwave plasma etching and reactive ion etching are described for ULSI device fabrication. Highly selective anisotropic etching at a high rate, which implies dry etching without tradeoffs, is performed without changing the discharge parameters. This etching is only achieved at reduced wafer temperatures. The etching mechanism and the model are discussed based on the etching yield results obtained by the mass‐selected reactive ion beam etching experiments. The new etching system and the etching properties obtained for the low‐temperature etching are reviewed comparing those obtained in the conventional reactive ion etching and electron‐cyclotron‐resonance microwave plasma etching.

118 citations


Patent
05 Jul 1991
TL;DR: In this article, it was shown that the opposing surface portions of the main electrodes of an excimer laser device are covered with materials which are resistive to chemical etching than nickel.
Abstract: At least the opposing surface portions of the main electrodes of an excimer laser device are covered with materials which are resistive to chemical etching than nickel. The etching resistive material may be a platinum based alloy containing rhodium, ruthenium, iridium, or osmium; a nickel based alloy containing gold, platinum, rhodium, ruthenium, iridium, or osmium; or rhodium, ruthenium, iridium, or osmium. Thus, an excimer laser device is realized by which the lives of the electrodes and the laser gas are prolonged.

116 citations


Journal ArticleDOI
TL;DR: In this article, the mechanisms of a-Si:H film deposition from SiH4 glow discharges are analyzed, and the authors show that SiH3 radicals combine a low sticking probability and a high surface mobility on the H-covered film surface.
Abstract: The mechanisms of a-Si:H film deposition from SiH4 glow discharges are analyzed. Recent progress in modeling and diagnostics of electrical power dissipation in DC and RF discharges revealed the importance of electron attachment and powder formation from negative ions. In conventional deposition conditions of optoelectronic-grade a-Si:H, the plasma chemistry favors SiH3 radicals which combine a low sticking probability and a high surface mobility on the H-covered film surface. Low SiH4 pressure discharges involves a large fraction of non mobile species such as SiHm≤2 radicals, but also a high flux of positive ions which can enhance surface mobility and structural rearrangement by momentum transfer (ion kinetic energy). Surface reactions can be also controlled by electronic energy transfer (neutralization of ions, deexcitation of metastables, photon irradiation, radical recombination). H atoms induce microcristalline silicon growth by combining the effects of chemical etching and annealing of the growth zone and H-coverage of the surface.

90 citations


Patent
16 Oct 1991
TL;DR: In this paper, the authors proposed a process for etching of silicon oxide/nitride such as silicon dioxide, silicon nitride or oxynitride, which can achieve 350% overetching while preventing sputtering of the electrically conductive layer which can be Al, Al alloys, Ti, TiN, TiW and Mo.
Abstract: A process for etching of silicon oxide/nitride such as silicon dioxide, silicon nitride or oxynitride. The process includes etching a silicon oxide/nitride layer to expose an underlying electrically conductive layer and provide a via extending through the silicon oxide/nitride layer to the electrically conductive layer. The etching is performed by exposing the silicon oxide/nitride layer to an etching gas in an ionized state in a reaction chamber of a plasma generating device. The etching gas includes a fluoride-containing gas and a passivating gas which is present in an amount effective to suppress sputtering of the electrically conductive layer when it is exposed to the etching gas during the etching step. The passivating gas can be nitrogen gas and the fluoride-containing gas can be CF 4 , CHF 3 , C 2 F 6 , CH 2 F 2 , SF 6 , other Freons and mixtures thereof. The etching gas can also include a carrier gas such as Ar, He, Ne, Kr or mixtures thereof. The etching can be reactive ion etching or plasma etching and the etching gas can be exposed to a microwave electric field and/or a magnetic field during the etching step. The etching gas can achieve 350% overetching while preventing sputtering of the electrically conductive layer which can be Al, Al alloys, Ti, TiN, TiW and Mo.

89 citations


Journal ArticleDOI
TL;DR: In this article, a new processing sequence involving the removal of thermal oxide by buffered HF (pH=5), followed by etching in a 40% ammonium-fluoride solution, produces a remarkably homogeneous H/Si(111)•(1×1) surface, characterized by a 0.05 cm−1 broad Si•H stretch mode.
Abstract: Infrared reflection‐absorption measurements of the Si‐H stretching vibrations of HF‐etched Si(111) surfaces show that the structure of the H‐passivated surfaces depends strongly on the nature of the initial silicon‐oxide layer. For similar etching conditions, thermal oxides lead to much flatter surfaces than chemical oxides. A new processing sequence involving the removal of thermal oxide by buffered HF (pH=5), followed by etching in a 40% ammonium‐fluoride solution, produces a remarkably homogeneous H/Si(111)‐(1×1) surface, characterized by a 0.05 cm−1 broad Si‐H stretch‐mode.

79 citations


Patent
17 Apr 1991
TL;DR: In this article, a tungsten etch with nitrogen trifluoride (NF 3 ) and argon (Ar) is described, and the etch is carried out in a parallel plate plasma reactor.
Abstract: A process for etching a tungsten layer formed on a semiconductor substrate is described. The etch is carried out in a parallel plate plasma reactor. The etchant gases include nitrogen trifluoride (NF 3 ) and argon (Ar). The use of NF 3 in a tungsten etching process reduces the build-up of polymers or sulfur residues on the electrode as occurs with processes utilizing sulfur or carbon fluorides as etchant gases. The process has a sufficiently high etch rate for volume production. The NF 3 -Ar etch process can be used to etchback a blanket layer of deposited tungsten to form tungsten via plugs in contact areas of the device. In the via plug process, reduced micro-loading effect, that is, the tendency of some plugs to be etched away before the complete etching of the blanket layer, has been achieved. The etching of tunsten with NF 3 -Ar process can be preformed in one or more steps in process utilizing several etching steps. Additionally, a tungsten etch incorporating one or more NF 3 -Ar steps and one or more steps utilizing etchants such as SF 6 , Cl 2 , O 2 , CF 4 , CBrF 3 , CF 3 Cl, CF 2 Cl 2 or similar etchants can be used to optimize etch rate and uniformity while obtaining the benefit of reduced residue build-up.

74 citations


Journal ArticleDOI
TL;DR: In this paper, a technique for large-area mosaic diamond films approaching single-crystal quality is described, which includes patterned etching of relief structures in Si substrates, deposition from a slurry and orientation of macroscopic diamond seed crystals in the structures, and chemical vapor deposition overgrowth of the diamond seeds to form a continuous film.
Abstract: The seeding for large‐area mosaic diamond films approaching single‐crystal quality is described. The technique includes patterned etching of relief structures in Si substrates, deposition from a slurry and orientation of macroscopic diamond seed crystals in the structures, and chemical vapor deposition overgrowth of the diamond seeds to form a continuous film. The film comprises ∼100 μm single crystals, which are separated by low‐angle grain boundaries of a few degrees or less. We believe that these low‐angle grain boundaries will not affect the electrical properties of majority‐carrier devices.

Journal ArticleDOI
TL;DR: In this article, it is shown that it is possible to pattern Cu anisotropically using polyimide as a high-temperature etch mask, achieving an etch rate as high as 850 A/min using SiCl4/N2 and a substrate temperature of ∼ 220°C.
Abstract: Copper may become an alternative to aluminum as an interconnect material in ultralarge scale integration multilevel metallization schemes if it is possible to pattern Cu by dry etching in a manufacturable process. Here we report results on the reactive ion etching of Cu in SiCl4/Ar and SiCl4/N2 plasmas. Etching has been investigated as a function of gas composition, pressure, and substrate temperature. We have obtained etch rates as high as 850 A/min using SiCl4/N2 and a substrate temperature of ∼ 220 °C, with excellent etch selectivity of Cu relative to polyimide and SiO2. We demonstrate that it is feasible to pattern Cu anisotropically using polyimide as a high‐temperature etch mask.

Journal ArticleDOI
TL;DR: In this article, the geometrical shapes resulting from anisotropic etching of crystalline substances are investigated and a simulator has been built that constructs boundary representations of the polyhedral models of such shapes starting from an etch rate polar diagram.
Abstract: The geometrical shapes resulting from anisotropic etching of crystalline substances are investigated. A simulator has been built that constructs boundary representations of the polyhedral models of such shapes starting from an etch rate polar diagram. Special attention is given to situations in which new faces emerge that were not previously present. >

Journal ArticleDOI
TL;DR: In this paper, an ultranarrow InGaAs/InP buried quantum well wires were fabricated by means of electron beam lithography and reverse mesa wet etching, and the lateral dimension of the wires was reduced to 10 nm.
Abstract: We have fabricated ultranarrow InGaAs/InP buried quantum well wires by means of electron beam lithography and reverse mesa wet etching. Owing to the reverse mesa etching profile, the lateral dimension of the wires has been reduced to 10 nm. Furthermore, we investigated the optical characteristics of these wires by photoluminescence and observed, for the first time, clear dependence of luminescence wavelength upon the wire width even for wires down to 10 nm, which is well explained by the theoretical calculation. The blue‐shifted shoulder structures were also observed and they were assigned theoretically to be the second quantized level.

Journal ArticleDOI
TL;DR: In this article, the authors studied the effect of immersion in water following aqueous HF etching on the surface hydride structure of Si(111) by measuring Si-H stretching vibration using infrared absorption spectroscopy.
Abstract: Aqueous HF etching of the silicon surface removes surface oxide, leaving a silicon surface terminated inhomogeneously by silicon mono-, di-, and trihydrides. We studied the effect of the immersion in water following the HF etching, on the surface hydride structure on Si(111), by measuring Si-H stretching vibration using infrared absorption spectroscopy. Immersion in boiling water (100°C) for 600 s produces a surface homogeneously terminated with silicon monohydride normal to the surface and free of oxidation. We concluded that water can remove silicon dihydride and trihydride and leave a silicon surface terminated with monohydride. The homogeneous surface has a low defect density of less than 0.5%.

Proceedings ArticleDOI
24 Jun 1991
TL;DR: Deep dry etching of single-crystal silicon with IC-compatible masking materials for microstructure fabrication is reported in this paper, which indicates favorable features for application in silicon micromachining.
Abstract: Deep dry etching of single-crystal silicon with IC-compatible masking materials for microstructure fabrication is reported. Reactive ion etching using chlorine/fluorine gases and positive photoresist mask produces up to 30 mu m deep silicon steps with vertical sidewalls. Plasma etching with fluorine/oxygen gas mixtures shows rather isotropic etch behavior; however, high selectivities of 20, 85, and greater than 300 for photoresist, silicon dioxide, and aluminum masks, respectively, permit etch depths of up to several hundreds of microns. Since these dry etching techniques are reproducible and controllable they indicate favorable features for application in silicon micromachining. Several examples are described: bipolar-compatible accelerometers where dry etching and KOH etching are combined, free-standing thin film microstructures (out of aluminum or silicon dioxide) realized by isotropic etching of the substrate, and thin silicon membranes fabricated by plasma etching. >

Patent
Takashi Fujii1, Hironobu Kawahara1, Kazuo Takata1, Masaharu Nishiumi1, Noriaki Yamamoto1 
20 Feb 1991
TL;DR: In this article, anisotropic etching is conducted by forming a protective film on a side wall while removing excess deposits formed as the protective film by means of a reactive gas, such as a halogen gas or a Cl-containing hydrogen gas.
Abstract: The present invention relates to the etching of a gate film, a tungsten film, a silicon film, etc. In the present invention, use is made of an etching gas comprising a mixture composed of a reductive fluoride gas, a hydrocarbon gas and a halogen gas having a larger atomic diameter than a material to be etched, or a mixture composed of a reductive fluoride gas and a Cl-containing hydrocarbon gas, and the process comprises the step of conducting anisotropic etching of a material to be etched with an etching gas (a reductive fluoride gas), the step of forming a protective film by a depositing gas (a hydrocarbon gas), and the step of removing excess deposits formed as the protective film by means of a gas reactive with the protective film (a halogen gas or a Cl-containing hydrogen gas), wherein anisotropic etching is conducted by forming a protective film on a side wall while removing excess deposits formed as the protective film, thus enabling the anisotropic etching to be conducted with good accuracy.

Journal ArticleDOI
TL;DR: In this paper, the authors have shown that E1, E2 and E3 electron traps arise from two kinds of hydrogen-oxygen-carbon complexes and the E3 trap arises from a hydrogen carbon complex.
Abstract: We have found with deep‐level transient spectroscopy that chemical etching introduced three electron traps, E1(0.11), E2(0.13), and E3(0.15), in the near‐surface region of phosphorus‐doped crystalline silicon. The results on depth profiles of these traps and carriers suggested the donor character of the traps, but they hardly exhibited the Poole–Frenkel effect. From their correlations with carbon and oxygen, we propose a tentative identification that E1 and E2 traps arise from two kinds of hydrogen‐oxygen‐carbon complexes and the E3 trap arises from a hydrogen‐carbon complex. Hydrogen is assumed to be adsorbed on the silicon surface during chemical etching and diffuse into the interior of the crystal during the subsequent evaporation and sample storage processes to be trapped at two kinds of oxygen‐carbon complexes and substitutional carbon to form the traps. The annealing behavior of E2 and E3 traps in the dark were studied in detail. Their densities were increased at temperatures of 70–90 °C and subsequently were decreased at higher temperatures obeying first‐order kinetics. The increase in trap densities is interpreted to be due to the further formation of the traps by capturing mobile hydrogen by oxygen‐carbon complexes and substitutional carbon. This hydrogen is assumed to be released at temperatures of 70–90 °C by the dissociation of the hydrogen‐phosphorus complex that was also formed by in‐diffusing hydrogen during the evaporation and sample storage processes. The subsequent decrease in trap densities is attributed to the thermal dissociation of the traps at higher annealing temperatures and the subsequent loss of hydrogen at sinks. The illumination of band‐gap light above 230 K annihilated the traps. The annihilation of the traps occurred only outside the depletion region of the Schottky structure. This effect is ascribed to the recombination‐enhanced reaction, in which the electronic energy released by the electron‐hole recombination at a trap level is converted into local vibrational energy to induce the thermal dissociation of the traps.

Patent
Isamu Namose1
29 Jul 1991
TL;DR: A dry chemical etching method for etching one or more silicon oxide layers, such as SOG, TEOS, LTO or other types of deposited Si or SiO 2 layers, provides for low selectivity (ratio) with high controllability and reliability with shorter etching times and increased wafer throughput and yield as mentioned in this paper.
Abstract: A dry chemical etching method for etching one or more silicon oxide layers, such as SOG, TEOS, LTO or other types of deposited Si or SiO 2 layers, provides for low selectivity (ratio) with high controllability and reliability with shorter etching times and increased wafer throughput and yield. The etching medium comprises C n F 2n+2 , wherein n is an integer, such as, CF 4 , C 2 F 6 or C 3 F 8 , and an inert gas, such as, He, Ar or Xe. The inert gas as properly mixed with the fluoride gas provides a buffering effect on the fluorine radicals, F*, liberated in the plasma, so that control over the uniformity and the rate of etching can more easily be accomplished without fear of nonuniform etching or over-etching.

Journal ArticleDOI
TL;DR: In this paper, a method for growing GaAs on Si substrates with a low density of threading dislocations is described, and the process involves patterning a 200 nm period sawtooth grating on (100) Si using a combination of holographic lithography and wet chemical etching.
Abstract: We report a novel technique for growing GaAs on Si substrates with a low density of threading dislocations. The process involves patterning a 200 nm period sawtooth grating on (100) Si using a combination of holographic lithography and wet chemical etching. The GaAs layers grown by metalorganic chemical vapor deposition on such substrates exhibit a dramatic reduction in the density of threading misfit dislocations, even when the grown layers are thin. Twins and stacking faults are also reduced dramatically by either in situ thermal‐cycle growth or ex situ rapid thermal annealing.

Patent
30 Aug 1991
TL;DR: In this paper, the authors proposed to suppress sprious signals caused by bulk waves and improve the adhesiveness of a boundary among a diamond-shaped carbon film or a unicrystal diamond film layer and a substrate by making the boundary coarse.
Abstract: PURPOSE:To suppress sprious signals caused by bulk waves and to improve the adhesiveness of a boundary among a diamond-shaped carbon film or a unicrystal diamond film layer and a substrate by making the boundary coarse CONSTITUTION:At the surface acoustic wave(SAW) element successively providing a diamond-shaped carbon film or unicrystal diamond film layer 4 and a piezoelectric layer 3 on a substrate 6, a boundary 5 between the diamond-shaped carbon film or unicrystal diamond film layer 4 and the substrate 6 is made coarse In order to make this boundary 5 coarse, the substrate 6 is previously processed by a sand blast method, chemical etching method or mechanical coarse grinding method, etc, and the diamond-shaped carbon film or unicrystal diamond layer 4 is formed on the substrate It is preferable that this coarseness is equal or more than the electrode finger pitch of an electrode to form the uneven pitch because of a reason for scattering the bulk waves made incident to the boundary

Journal ArticleDOI
T. Y. Chu1, W. Ting1, Jinho Ahn, S. Lin1, Dim-Lee Kwong1 
TL;DR: In this article, the composition of ultrathin oxides grown on both Si and SiO2 substrates in pure N2O in a conventional furnace has been studied using Auger electron spectroscopy (AES) analysis, chemical etching, and electrical measurements.
Abstract: The composition of ultrathin oxides grown on both [100] and [111]Si substrates in pure N2O in a conventional furnace has been studied using Auger electron spectroscopy (AES) analysis, chemical etching, and electrical measurements. Results show a peak nitrogen concentration at the Si‐SiO2 interface which decreases from the Si‐SiO2 interface to the oxide surface. This nitrogen distribution is responsible for superior electrical properties of metal‐oxide‐semiconductor (MOS) devices with these films as gate dielectrics.

Journal ArticleDOI
TL;DR: The effect of forced and natural convection on the shape evolution of deep cavities during wet chemical etching was investigated in this paper, where finite element methods were employed to solve for the fluid velocity and product concentration distributions in cavities of irregular geometries resulting from etching.
Abstract: The effect of forced and natural convection on the shape evolution of deep cavities during wet chemical etching was investigated. Etching was assumed to be limited by removal of the dissolution products away from the vicinity of the active surface. Finite element methods were employed to solve for the fluid velocity and product concentration distributions in cavities of irregular geometries resulting from etching. Forced convection was found very ineffective for rinsing deep cavities. The etching rate decreased sharply with time as the cavity became deeper during etching. At the same time, the etching rate distribution along the active surface became nearly uniform, degrading etch anisotropy. In contrast, natural convection was effective for rinsing the dissolution products out of the cavity. Both the etching rate and the etch factor remained at relatively high values throughout etching, even at later times when the cavity became deeper. The cavity wall profiles and the corresponding flow and concentration fields showed some interesting features, especially for the case of natural convection. The results have important implications for deep anisotropic etching and other related processes.

Journal ArticleDOI
TL;DR: In this article, the etch depth per pulse as a function of laser fluence was measured and compared with the predictions of an explicit finite difference thermal model, and the sheet resistance of a 50μm-wide conducting channel formed by laser ablation was measured.
Abstract: Laser etching of transparent conducting films of fluorine‐doped tin oxide and indium‐tin oxide has been investigated using an excimer laser at 248 nm. The etch depth per pulse as a function of laser fluence was measured and compared with the predictions of an explicit finite difference thermal model. Direct laser patterning of these films was demonstrated. The sheet resistance of a 50‐μm‐wide conducting channel formed by laser ablation was measured and compared with the value for the original film.

Journal ArticleDOI
TL;DR: In this article, a wet etch process for patterning insulators suitable for multilayer epitaxial high-Tc superconductor-insulator-superconductor structures down to micronmeter-scale dimensions is described.
Abstract: We describe a wet etch process for patterning insulators suitable for multilayer epitaxial highTc superconductor‐insulator‐superconductor structures down to micronmeter‐scale dimensions. A solution of 7% HF in water gives convenient etch rates for SrTiO3 and MgO insulators (about 1500 A/min for single crystals), and easily stops on thin high Tc superconducting layers, due to the high selectivity of this etchant between these insulators and the cuprate superconductors. Using entirely wet etching patterning processes, we have fabricated 5‐turn (20‐turn) coils with zero resistance at 89 K (79 K) and critical currents at 77 K of 2.5 mA (6 μA).

Patent
Haruyuki Goto1, Katsuhiko Kubota1
15 May 1991
TL;DR: In this article, the silicon substrate in which mesa semiconductor elements are formed is scribed along dicing lines by a laser and the resolidified silicon, which failed to vaporize during scribing, is etched away by sodium hydroxide solution.
Abstract: The silicon substrate in which mesa semiconductor elements are formed is scribed along dicing lines by a laser. The resolidified silicon, which failed to vaporize during scribing, is etched away by sodium hydroxide solution. As a result, the silicon substrate is separated into a plurality of mesa semiconductor pellets. These pellets undergo chemical etching with a fluoric and nitric acid mixture to smooth the cut surfaces.

Patent
12 Jun 1991
TL;DR: In this article, the authors proposed a method for making a contact opening for an integrated circuit having a feature size of about one micrometer or less by first providing a circuit structure having device elements within a semiconductor substate and multilayer insulating layers thereover.
Abstract: The method for making a contact opening for an integrated circuit having a feature size of about one micrometer or less is accomplished by first providing an integrated circuit structure having device elements within a semiconductor substate and multilayer insulating layers thereover. A resist masking layer is formed over the multilayer insulating layer having openings therein in the areas where the contact openings are desired. Isotropic etching is done through a desired thickness portion of multilayer insulating layer. Anisotropic etching is now done through the remaining thickness of multilayer insulating layer to the semiconductor substrate to form the desired contact opening. The resist layer is removed. The structure is subjected to an Argon sputter etching ambient to smooth the sharp corners at the upper surface of multilayer layer and the point where the isotropic etching ended and the anisotropic etching began. It is preferred that soft reactive ion etching be done for a period of less than about 30 seconds after said Argon sputter etching to reduce the increased contact resistance caused by this Argon sputter etching.

Patent
02 Oct 1991
TL;DR: In this article, the second conductor is selected to be one which may be preferentially etched in the presence of the first conductor whereby the first conductors act as an etch stop for the etchant used to pattern the second conductors portion of the source/drain metallization.
Abstract: Minimum line spacing is reduced and line spacing uniformity is increased in thin film transistors by employing source/drain metallization having a first relatively thin layer of a first conductor and a second relatively thick layer of a second conductor. The second conductor is selected to be one which may be preferentially etched in the presence of the first conductor whereby the first conductor acts as an etch stop for the etchant used to pattern the second conductor portion of the source/drain metallization. This etching is preferably done using dry etching. Dry etching typically provides substantially better control of line width than wet etching. The etching of the second conductor can be done with a dry etch process which etches the photoresist at substantially the same rate as the second conductor whereby the second conductor is provided with a sidewall slope of substantially 45° which improves the quality of passivation provided by subsequent deposition of a conformal passivating layer.

Journal ArticleDOI
TL;DR: A multibeam apparatus has been constructed which allows synthesis of the primary fluxes to a wafer surface during fluorocarbon plasma etching as discussed by the authors, which can be manipulated independently to ascertain the effects of radical-to-ion flux ratio on film formation and etching yields.
Abstract: A multibeam apparatus has been constructed which allows synthesis of the primary fluxes to a wafer surface during fluorocarbon plasma etching. These species include CF2 as a polymer forming precursor, F as the primary etchant, and Ar+ or CF+x for energetic ion bombardment. The individual fluxes can be adjusted to within an order of magnitude of those encountered in typical plasma etching processes, and can be manipulated independently to ascertain the effects of radical‐to‐ion flux ratio on fluorocarbon film formation and etching yields. Direct sticking of CF2 radicals on undoped polysilicon films can account for suppression of silicon MONITOR sputtering yields on the order of 70%, but cannot completely stop the net removal of silicon by Ar+ at energies above 150 eV. Studies of the competitive interaction between CF2 and F radicals on undoped silicon surfaces showed up to 30% suppression of Ar+/F etching yields under flux conditions representative of low pressure CF4 reactive ion etching (RIE).

Journal ArticleDOI
TL;DR: In this paper, a two-step MERIE process with high etch rate and high anisotropy and second with high selectivity to the gate oxide, with low ion-energy bombardment is also developed to minimize the trenching effect during polysilicon-gate electrode etching.
Abstract: Plasma and magnetically enhanced reactive ion etching processes with chlorine gas have been developed for subhalf micron polysilicon gate electrode etching (1). In this paper, gate oxide trenching during polysilicon gate etching using chlorine-based reactive ion etching (RIE) and magnetically enhanced reactive ion etching (MERIE) processes is studied in detail. The trenching mechanism was found to be a direct result of off-angle ion bombardment and reflection from the partially etched polysilicon sidewall during plasma etching. The off-angle ion bombardment is caused by the elastic collision between ions and neutrals as ions move across the plasma shealth. Monte-Carlo simulation confirms the angular distribution of ions under most plasma processing conditions. A two-step MERIE process, first with high etch rate and high anisotropy and second with high selectivity to the gate oxide, with low ion-energy bombardment is also developed to minimize the trenching effect during polysilicon-gate etching