scispace - formally typeset
Search or ask a question

Showing papers on "Isotropic etching published in 1996"


Journal ArticleDOI
TL;DR: In this article, a brief review of dry etching as applied to pattern transfer, primarily in silicon technology, is presented, focusing on concepts and topics for etching materials of interest in micromechanics.
Abstract: This article is a brief review of dry etching as applied to pattern transfer, primarily in silicon technology. It focuses on concepts and topics for etching materials of interest in micromechanics. The basis of plasma-assisted etching, the main dry etching technique, is explained and plasma system configurations are described such as reactive ion etching (RIE). An important feature of RIE is its ability to achieve etch directionality. The mechanism behind this directionality and various plasma chemistries to fulfil this task will be explained. Multi-step plasma chemistries are found to be useful to etch, release and passivate micromechanical structures in one run successfully. Plasma etching is extremely sensitive to many variables, making etch results inconsistent and irreproducible. Therefore, important plasma parameters, mask materials and their influences will be treated. Moreover, RIE has its own specific problems, and solutions will be formulated. The result of an RIE process depends in a non-linear way on a great number of parameters. Therefore, a careful data acquisition is necessary. Also, plasma monitoring is needed for the determination of the etch end point for a given process. This review is ended with some promising current trends in plasma etching.

456 citations


Journal ArticleDOI
TL;DR: In this paper, a review of the preparation, structure and properties of self-assembled monolayers (SAMs), techniques for patterning SAMs, including microcontact printing (mCP), UV-photolithography, and e-beam writing, is presented.

210 citations


Patent
29 Apr 1996
TL;DR: In this paper, a method of etching a dielectric layer on a substrate with high etching selectivity, low etch rate microloading, and high etch rates is described.
Abstract: A method of etching a dielectric layer on a substrate with high etching selectivity, low etch rate microloading, and high etch rates is described. In the method, the substrate is placed in a process zone, and a plasma is formed from process gas introduced into the process zone. The process gas comprises (i) fluorocarbon gas for etching the dielectric layer and for forming passivating deposits on the substrate, (ii) carbon-oxygen gas for enhancing formation of the passivating deposits, and (iii) nitrogen-containing gas for etching the passivating deposits on the substrate. The volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected to provide a dielectric to resist etching selectivity ratio of at least about 10:1, an etch rate microloading of <10%, and a dielectric etch rate of at least about 100 nm/min. Preferably, the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected so that the rate of formation of passivating deposits on the sidewalls of the freshly etched features is approximately equal to the rate of removal of the passivating deposits.

192 citations


Patent
12 Jul 1996
TL;DR: In this article, a first region of a seed substrate is separated from a bonded handle substrate by etching and/or fracturing a second region of the seed substrate, and a third region remains bonded to the handle wafer.
Abstract: A first region of a seed substrate is separated from a bonded handle substrate by etching and/or fracturing a second region of the seed substrate. A third region of the seed substrate remains bonded to the handle wafer. Etching and etch ant distribution are facilitated by capillary action in trenches formed in the seed substrate prior to bonding of the handle substrate. A portion of the second region may be removed by undercut etching prior to handle bonding. Elevated pressure and etchant composition are used to suppress bubble formation during etching. Alternatively, pressure from bubble formation is used to fracture a portion of the second region. First, second, and third regions are defined by a variety of methods.

136 citations


Journal ArticleDOI
TL;DR: In this article, a simple model involving kinks and ledges is proposed and allows direct relation of hillock features to etch anisotropy, and it is shown that hillocks are stable due to a lower etch rate for ledges adjacent to the etched surface.
Abstract: Hillocks on etched Si{100} surfaces produced by anisotropic etching are a common irritant in the creation of micromachined devices. Close inspection of typical pyramidal hillock shapes reveals that they are usually bounded by convex -directed edges and {111} or near-{111} planes. Underetch experiments at varying TMAH etchant composition confirm that the etch rates of {101} planes and {100} planes vary with etchant conditions. Hillocks are suppressed when {101} etches faster than {100}, which occurs when the TMAH concentration is low. A simple model involving kinks and ledges is proposed and allows direct relation of hillock features to etch anisotropy. Hillocks are hypothesized to be stable due to a lower etch rate for ledges adjacent to the etched surface. The apex of the pyramids may be protected by impurities or defects. Re-etch experiments indicate that hillock-producing conditions are quite sensitive to etchant conditions.

106 citations


Journal ArticleDOI
TL;DR: In this paper, a method by chemical etching using hydrofluoric acid and buffered buffered HF solution (BHF) was proposed to fabricate a pencil-shaped fiber probe for near-field optics.
Abstract: We propose a novel method by chemical etching using hydrofluoric (HF) acid and a buffered HF solution (BHF) to fabricate a pencil-shaped fiber probe for near-field optics. We succeeded in producing such probes with high reproducibility using a dispersion-compensating fiber having the high GeO/sub 2/ doped core. The method involves tapering of the cladding, then sharpening of the core. The fabricated probe has a conical tip with a cone angle of 20/spl deg/ and an apex diameter of less than 10 nm.

105 citations


Journal ArticleDOI
TL;DR: In this article, the radial dose distribution was calculated using a Monte Carlo simulation code and it was found that for homogeneous track etching the energy loss of the ions has to surpass a threshold of about 450 eV/A.
Abstract: Tracks of various heavy ions with energies up to 13 MeV/u were studied using the technique of selective chemical etching. It was found that for homogeneous track etching the energy loss of the ions has to surpass a threshold of about 450 eV/A. In a transition regime between 180 and 450 eV/A etching was possible but the mean diameter of the resulting pores showed a wider distribution than pores at higher energy losses. In order to describe this observation, the radial dose distribution was calculated using a Monte Carlo simulation code. Inhomogeneous etching is interpreted as due to the spatial fluctuations of the deposited energy along the ion path.

99 citations


Journal ArticleDOI
TL;DR: In this paper, a two-stage digital etching technique for GaAs is presented, which uses hydrogen peroxide and an acid in a two step etching process to remove GaAs in approximately 15 A increments.
Abstract: A new room temperature wet chemical digital etching technique for GaAs is presented which uses hydrogen peroxide and an acid in a two‐step etching process to remove GaAs in approximately 15 A increments. In the first step, GaAs is oxidized by 30% hydrogen peroxide to form an oxide layer that is diffusion limited to a thickness of 14 to 17 A for time periods from 15 to 120 s. The second step removes this oxide layer with an acid that does not attack unoxidized GaAs. These steps are repeated in succession until the desired etch depth is obtained. Experimental results are presented for this digital etching technique demonstrating the etch rate and process invariability with respect to hydrogen peroxide and acid exposure times.

93 citations


Journal ArticleDOI
TL;DR: In this article, it was shown that KOH-based solutions provide reaction-rate limited etching of AlN at rates strongly dependent on the crystalline quality, and the activation energy for etching is 15.5 kcal/mol−1 for both polycrystalline and single-crystal AlN.
Abstract: Wet chemical etching of single crystal III–V nitrides has proven difficult in the past due to their excellent stability in corrosive liquids. We have found that KOH‐based solutions provide reaction‐rate limited etching of AlN at rates strongly dependent on the crystalline quality. The activation energy for etching is 15.5 kcal mol−1 for both polycrystalline and single‐crystal AlN, but the absolute rates are up to a factor of 103 higher for the polycrystalline material. The etching is selective over GaN and substrate materials such as Al2O3. KOH‐based solutions also attack the interfacial region between InN and GaAs causing liftoff of the epitaxial InN layers. We have also studied the wet etching characteristics of GaN, AlN, and InN in all of the common acid solutions employed for conventional semiconductors. The temperature of these solutions was varied from 23 to 85 °C, but no measurable etching was observed under any of these conditions.

93 citations


Journal ArticleDOI
TL;DR: In this article, the formation of pyramidal hillocks during etching can be influenced in two different ways: by the presence of an oxidizing agent (ferricyanide or oxygen) in the etchant solution, or by etching under anodic bias.
Abstract: We report on a study of the morphology of (100) silicon surfaces etched in aqueous alkaline solutions. It is shown that the formation of pyramidal hillocks during etching can be influenced in two different ways: by the presence of an oxidizing agent (ferricyanide or oxygen) in the etchant solution, or by etching under anodic bias. In both cases pyramid formation is suppressed without a significant change of the etch rate. The addition of ferricyanide does not markedly change the etching anisotropy. The formation, stability, and suppression of the pyramids are discussed.

90 citations


Patent
10 Apr 1996
TL;DR: In this paper, a manufacturing process and construction for printing heads which operate using coincident forces, drop-on-demand printing principles, is presented. But the print head integrates many nozzles into a single monolithic silicon structure.
Abstract: A manufacturing process and construction for printing heads which operate using coincident forces, drop on demand printing principles. The print head integrates many nozzles into a single monolithic silicon structure. Semiconductor processing methods such as photolithography and chemical etching are used to simultaneously fabricate a multitude of nozzles into the monolithic head. The nozzles are etched through the silicon substrate, allowing two dimensional arrays of nozzles for color printing. The manufacturing process can be based on existing CMOS, nMOS and bipolar semiconductor manufacturing processes, allowing fabrication in existing semiconductor fabrication facilities. Drive transistors, shift registers, and fault tolerance circuitry can be fabricated on the same wafer as the nozzles. The manufacturing process uses anisotropic wet etching to etch ink channels and nozzle barrels from the back surface of the wafer to the front surface of the wafer. The etching follows the crystallographic planes of the silicon, which result in highly accurate and consistent etch angles using simple etching equipment.

Journal ArticleDOI
TL;DR: In this article, the influence of mechanical pretreatment by grinding and polishing with diamond powder of different grain sizes as well as chemical etching are examined on WC-Co hardmetals.

Patent
09 Apr 1996
TL;DR: In this paper, a method for etching silicon was described incorporating first and second steps of reactive ion etching through a patterned oxide layer in respective atmospheres of HBr, Cl 2 and O 2 and then terminating the first etching step and removing substantially all Cl 2 before continuing with the second step.
Abstract: A method for etching silicon is described incorporating first and second steps of reactive ion etching through a patterned oxide layer in respective atmospheres of HBr, Cl 2 and O 2 and then HBr and O 2 in situ by terminating the first etching step and removing substantially all Cl 2 before continuing with the second step of etching. The invention overcomes the problem of uneven etching of n+ and p+ silicon gates for CMOS transistor logic during the step of simultaneously etching silicon to form sub 0.25 micron gate lengths and vertical sidewalls while stopping on the gate oxide.

Journal ArticleDOI
TL;DR: In this paper, a wet isotropic etching technique (tubs) was developed for texturing polycrystalline silicon solar cells, which is suitable for thin substrates where the superior light trapping properties will be most beneficial.

Patent
Masakatsu Tsuchiaki1
08 Jul 1996
TL;DR: In this paper, a method for manufacturing improved device structures which include a device structure having STI and a thin foot charge drain beneath the device area on an inexpensive bulk silicon substrate was disclosed.
Abstract: A method is disclosed of manufacturing improved device structures which include a device structure having STI and a thin foot charge drain beneath the device area on an inexpensive bulk silicon substrate. The structures retain high speed operation of SOI devices without any adverse effects of charge build-up and floating effects as observed in conventional SOI devices, and, furthermore, are constructed without any extra process steps added to the conventional STI technology except for an isotropic etching step. The invention also contemplates construction of multi-level electronic circuit. In various embodiments, the invention includes steps of forming a photoresist pattern over a semiconductor substrate to designate a plurality of islands, anisotropic etching the substrate to form plurality of designate islands which develops thin passivation layer on the sidewall, performing successively an isotropic etching on the resulting structure to create a thin foot region under each of the plurality of the islands with the help of the passivation layer, and forming a thin thermal oxide layer to improve the interface quality between each thin foot region and the insulator. Additional layers of silicon islands may be formed on the resulting structure.

Journal ArticleDOI
TL;DR: In this article, a comparative low-temperature photoluminescence (PL) spectra of detector grade Cd1−xZnxTe (x=0.1) have been measured to obtain information about shallow level defect concentration introduced during mechanical polishing and chemical etching processes.
Abstract: Low‐temperature photoluminescence (PL) spectra of detector grade Cd1−xZnxTe (x=0.1) have been measured to obtain information about shallow level defect concentration introduced during mechanical polishing and chemical etching processes. We present here a comparative PL study of Cd0.9Zn0.1Te crystals treated by different chemical solutions used for nuclear detector surface treatment. The results show that the 5% Br–MeOH+2%Br–20% lactic acid in ethylene glycol treatment combines the advantages of bromine and lactic acid for chemical etching and results in the best surface condition, as evidenced by the largest I(D0,X)/Idef intensity ratio and the narrowest full width at half‐maximum of the main peak (D0,X). Changes in the surface morphology were also analyzed by atomic force microscopy and correlated with the PL results. Current–voltage (I–V) curves and the room‐temperature 55Fe spectral response of the sample etched by the best treatment are also presented and discussed.

Journal ArticleDOI
TL;DR: In this article, an organosilane monolayer composed of trimethylsilyl [−Si(CH3)3] groups was prepared by chemical vapor deposition on a Si substrate.
Abstract: We report scanning probe microscope (SPM) nanolithography that uses thin films patternable by scanning probe anodization as resists. An organosilane monolayer composed of trimethylsilyl [−Si(CH3)3] groups was prepared by chemical vapor deposition on a Si substrate. It was then patterned through the localized degradation of the monolayer as a result of anodic reactions induced beneath a SPM tip. The fabricated patterns on the resist were transferred into the substrate Si by area‐selective chemical etching in an aqueous NH4F/H2O2 solution. Consequently, grooves narrower than 100 nm were successfully fabricated. We have also developed another resist system for SPM lithography that is compatible with a wide range of surfaces, including insulators. The resist consisted of a thin Ti film covered with a fluoroalkylsilane monolayer. The monolayer was degraded along a tip‐scanning trace. This patterned resist was etched in a dilute HF solution. The chemical etching of the underlying Ti film proceeded selectively i...

Journal ArticleDOI
TL;DR: In this article, the atomic hydrogen hypothesis is strongly supported by experimental observations of diamond deposition with simultaneous graphite etching, and the experimental observations could be successfully explained without violating thermodynamics by assuming that the diamond phase had nucleated in the gas phase as fine clusters.

Journal ArticleDOI
TL;DR: In this article, the influence of oxygen plasma treatment of polyimide (PI) films on the adhesion of electroless copper coatings as well as on the chemical composition of the film surface and the PI surface morphology were investigated.
Abstract: The influences of oxygen plasma treatment of polyimide (PI) films on the adhesion of electroless copper coatings as well as on the chemical composition of the film surface and the PI surface morphology were investigated The plasma operating parameters were 1800 W forward power with O2 flowing at a rate of 300 cm3/min at a pressure of 200 mTorr The peel strength increased with decreasing plasma treatment temperature However, extension of the treatment time at higher temperatures had a positive effect on adhesion A correlation between the enhancement in peel strength and the content of oxygen-containing groups at the PI surface (investigated using XPS) was observed A change in the morphology as a result of plasma etching was also observed, in the formation of pits in the film surface The pits ranged from 3 to 6 μm in depth and the diameter varied from 10 to 200 μm Comparison of the data obtained after plasma treatment with the results of chemical etching in alkaline solutions of permanganate showed a

Patent
02 Oct 1996
TL;DR: In this article, a process comprising removing surface layer materials from the wafer by inducing micro-fractures in the surface using a rotating pad and an abrasive slurry is described.
Abstract: A process comprising removing surface layer materials from the wafer by inducing micro-fractures in the surface using a rotating pad and an abrasive slurry until all of the surface layer materials are removed; and chemically etching the surfaces of the wafer until all micro-fractures are removed therefrom. Edge materials are removed by abrasive tape. Wafer thickness reduction during recycling is less than 30 microns per cycle. One of the front and back surfaces of the wafer substrate is polished, any dots or grooves being on the non-polished side. The abrasive slurry contains more than 6 volume percent abrasive particles, and the abrasive slurry has a viscosity greater than about 2 cP. The preferred pad comprises an organic polymer having a hardness greater than about 45 on the Shore D scale, optimally a polyurethane. The pressure of the pad against the wafer surface preferably does not exceed about 3 psi. Preferably, the chemical etching solution contains potassium hydroxide. An acidic solution can then be applied to the wafer surface. The reclaimed silicon wafer has a matted side having etch pits which does not exceed 20 microns in width, an average roughness not exceeding 0.5 microns and a peak-to-valley roughness not exceeding 5 microns. Any laser markings from the original wafer are present on the matted side of the wafer.

Patent
12 Jun 1996
TL;DR: In this article, a method of etching a dielectric layer (20) on a substrate (25) with high etching selectivity, low etch rate microloading, and high etch rates is described.
Abstract: A method of etching a dielectric layer (20) on a substrate (25) with high etching selectivity, low etch rate microloading, and high etch rates is described. In the method, a substrate (25) having a dielectric layer (20) with resist material thereon, is placed in a process zone (55), and a process gas is introduced into the process zone (55). The process gas comprises (i) fluorohydrocarbon gas for forming fluorine-containing etchant species capable of etching the dielectric layer (20), (ii) NH 3 -generating gas having a liquefaction temperature L T in a range of temperatures ΔT of from about -60° C. to about 20° C., and (iii) carbon-oxygen gas. The temperature of substrate (25) is maintained within about ±50° C. of the liquefaction temperature L T of the NH 3 -generating gas. A plasma is formed from the process gas to etch the dielectric layer (20) on the substrate (25). Preferably, the volumetric flow ratio of fluorohydrocarbon:NH 3 -generating gas is from about 2.5:1 to about 7:1.

Journal ArticleDOI
TL;DR: In this article, the etching characteristics of CMOS aluminium in four etch solutions are reported, including commercially available aluminium etchant, Krumm etch, diluted hydrochloric acid, and diluted HCl acid with hydrogen peroxide.
Abstract: Sacrificial aluminium etching enables micromechanical structures integrated with circuitry to be fabricated using standard IC processes followed by simple post-processing. In this paper, the etching characteristics of CMOS aluminium in four etch solutions are reported. The solutions are (A) a commercially available aluminium etchant, (B) Krumm etch, (C) diluted hydrochloric acid, and (D) diluted hydrochloric acid with hydrogen peroxide. The etching of narrow channels is studied as a function of time and temperature. Initially, the etching process is reaction-rate controlled and then crosses over to a diffusion-controlled regime with reduced etch rate. Underetching distances larger than are readily achieved with etchants `A', `B', and `D'. The commercially available aluminium etchant has a low initial underetch rate of at but offers best control. The initial etch rate of hydrochloric acid with hydrogen peroxide is at . However, irregular etch fronts are obtained. Reliable protection of aluminium pads against etchants `A', `B', and `D' is guaranteed by Shipley's photoresist S1828 spun at 3000 rpm and hardbaked at .

Journal ArticleDOI
TL;DR: An organosilane trimethylsilyl (TMS) monolayer prepared on silicon substrate by chemical vapor deposition was successfully applied as a self-developing resist for atomic force microscope (AFM) lithography.
Abstract: An organosilane trimethylsilyl (TMS) monolayer prepared on silicon (Si) substrate by chemical vapor deposition was successfully applied as a self‐developing resist for atomic force microscope (AFM) lithography. The thickness of the monolayer was less than 1 nm. This resist was locally degraded due to electrochemical reactions induced in the junction between a conductive AFM probe and a Si–TMS sample. The generated pattern on the sample was then transferred to the Si substrate by chemical etching using the degraded region as an etching window. Degradation of the monolayer proceeded with both positive and negative sample biases. However, the absolute values of the voltage at which the probe‐scanned region began to show etching were +3.0 for Vs>0 and −5.0 V for Vs<0, in a 60% relative humidity air atmosphere. Faster patterning was achieved through increased current flow by applying a higher bias voltage. A 500 μm/s line drawing at Vs=+20.0 V with 2–3 nA was obtained. The number of injected electrons was esti...

Journal ArticleDOI
TL;DR: In this paper, the etch pits were revealed on the surface of the GaN layer by use of molten KOH etching, and they were hexagonal pyramids, which reflect the crystal symmetry of GaN.
Abstract: Dislocations in GaN epitaxial layers grown on sapphire substrates have been studied by chemical etching. The authors have examined molten KOH as a defect etchant and characterized the etch pits on GaN layers. By use of molten KOH etching, etch pits were revealed on the surface of the GaN layer. All pits were hexagonal pyramids, which reflect the crystal symmetry of GaN. Results showed that molten KOH etching might be a useful method for the evaluation of the dislocations in GaN layers. The etch pit density (EPD) was typically 2 {times} 10{sup 7} cm{sup {minus}2}.

Journal ArticleDOI
TL;DR: In this paper, the influence of chemical, mechanical and physical substrate pretreatments on the substrate surface modification was examined by SEM-EDAX and XPS surface analysis, and strong Co etching and reducing seems to be the best WC, Co substrate pre-treatment for a suitable diamond nucleation and adhesion.

Patent
Chen-Hua Yu1, Syun-Ming Jang1
26 Feb 1996
TL;DR: In this article, a method for improving the end-point detection for contact and via etching is described, where dummy patterns are added to the regular functional holes in order to increase the amount of etchable surface area.
Abstract: A method for improving the end-point detection for contact and via etching is disclosed. The disclosure describes the deliberate addition of dummy patterns in the form of contact and via holes to the regular functional holes in order to increase the amount of etchable surface area. It is shown that, one can then take advantage of the marked change in the composition of the etchant gas species that occurs as soon as what was once a large exposed area has now been consumed through the etching process. This then gives a strong and robust signal for the end of the etching process. This in turn results in better controlled and more reliable product. It is also indicated that with the full uniform pattern of the via layers now possible, the chemical/mechanical polishing process becomes much less pattern sensitive.

Patent
23 Jan 1996
TL;DR: In this paper, a plasma of an oxidizing gas, a fluoride-containing compound, and a hydrocarbon contains reactive species that preferentially strip photoresist from a substrate with little etching of oxide on the substrate's surface when the reactive species contact the substrate.
Abstract: Means for increasing the selectivity of photoresist stripping to oxide etching are disclosed. A plasma of an oxidizing gas, a fluoride-containing compound, and a hydrocarbon contains reactive species that preferentially strip photoresist from a substrate with little etching of oxide on the substrate's surface when the reactive species contact the substrate. The reactor, compositions, and methods disclosed are particularly useful in processes for etching silicon wafers to form semiconductor or microelectromechanical devices.

Journal ArticleDOI
TL;DR: InP-based microstructuring methods are presented with a view to develop micro opto electro mechanical systems (MOEMS) in this paper, where fabrication parameters and dimensions of the freestanding structures are determined for specific technological constraints (etching selectivities, anisotropic, sticking phenomena).
Abstract: InP-based microstructuring methods are presented with a view to develop micro opto electro mechanical systems (MOEMS). Fabrication parameters and dimensions of the freestanding structures are determined for specific technological constraints (etching selectivities, anisotropy, sticking phenomena). thick InGaAs deformable cantilevers, bridges and membranes have been fabricated by elimination of around -thick InAlAs sacrificial layers. Showing high aspect ratio, smooth surfaces and high accuracy in thicknesses, these microstructures are perfectly suitable for optical applications.

Patent
01 Aug 1996
TL;DR: In this article, techniques and apparatus for the laser induced etching of a reactive material, or of a multilayer substrate or wafer comprising layers of materials of different etching characteristics and reactivities, are disclosed.
Abstract: Techniques and apparatus for the laser induced etching of a reactive material, or of a multilayer substrate or wafer comprising layers of materials of different etching characteristics and reactivities, are disclosed. Short wavelength laser radiation and control of the process ambient equalize etch rates of the layers of a multilayer substrate or wafer and allow high-resolution etching. A suppressant gas introduced into a halogen-containing ambient suppresses explosive reactions between the ambient and reactive materials or layers. For less reactive layers or materials, reduced-pressure air is a suitable ambient. The techniques and apparatus disclosed herein are particularly useful in the manufacture of magnetic data transfer heads.

Journal ArticleDOI
TL;DR: In this paper, the surface roughness of the etched lenses was minimized by adding helium to the etch gases for heat removal and by increasing the resist rinse time after the wet chemical development.
Abstract: Reactive ion etching (RIE) is known as an effective technique for high precision anisotropic etching with a minimum loss of the critical dimensions provided by the photoresist or other masking materials. RIE can also be used to transfer continuous forms such as spherical resist microlenses into substrate materials (e.g., quartz glass or silicon). The form of the lenses can be considerably controlled by changing the etch rate ratio between resist and the substrate. This was achieved by varying the etch gas compound, especially the amount of oxygen, during the etching or by changing the applied power. Measured etch rates for silicon are given to demonstrate the possibilities of lens shaping. The surface roughness of the etched lenses was one of the main problems. The roughness could be minimized by adding helium to the etch gases for heat removal and by increasing the resist rinse time after the wet chemical development.