scispace - formally typeset
Search or ask a question

Showing papers on "Isotropic etching published in 2002"


Book
01 Jan 2002
TL;DR: In this paper, a comparison of top-down and bottom-up manufacturing methods for micro-manufacturing is presented, with a focus on the use of micro-processors.
Abstract: LITHOGRAPHY Introduction Historical Note: Lithography's Origins Photolithography Overview Critical Dimension, Overall Resolution, Line-Width Lithographic Sensitivity and Intrinsic Resist Sensitivity (Photochemical Quantum Efficiency) Resist Profiles Contrast and Experimental Determination of Lithographic Sensitivity Resolution in Photolithography Photolithography Resolution Enhancement Technology Beyond Moore's Law Next Generation Lithographies Emerging Lithography Technologies PATTERN TRANSFER WITH DRY ETCHING TECHNIQUES Introduction Dry Etching: Definitions and Jargon Plasmas or Discharges Physical Etching: Ion Etching or Sputtering and Ion-Beam Milling Plasma Etching (Radical Etching) Physical/Chemical Etching PATTERN TRANSFER WITH ADDITIVE TECHNIQUES Introduction Silicon Growth Doping of Si Oxidation of Silicon Physical Vapor Deposition Chemical Vapor Deposition Silk-Screening or Screen-Printing Sol-Gel Deposition Technique Doctors' Blade or Tape Casting Plasma Spraying Deposition and Arraying Methods of Organic Layers in BIOMEMS Thin versus Thick Film Deposition Selection Criteria for Deposition Method WET BULK MICROMACHINING Introduction Historical Note Silicon Crystallography Silicon As Substrate Silicon As A Mechanical Element In MEMS Wet Isotropic And Anisotropic Etching Alignment Patterns Chemical Etching Models Etching With Bias And/Or Illumination Of The Semiconductor Etch-Stop Techniques Problems With Wet Bulk Micromachining SURFACE MICROMACHINING Introduction Historical Note Mechanical Properties of Thin Films Surface Micromachining Processes Poly-Si Surface Micromachining Modifications Non-Poly-Si Surface Micromachining Modifications Materials Case Studies LIGA AND MICROMOLDING Introduction LIGA-Background LIGA and LIGA-Like Process Steps A COMPARISON OF MINIATURIZATION TECHNIQUES: TOP-DOWN AND BOTTOM-UP MANUFACTURING Introduction Absolute and Relative Tolerance in Manufacturing Historical Note: Human Manufacturing Section I: Top-Down Manufacturing Methods Section II: Bottom-Up Approaches MODELING, BRAINS, PACKAGING, SAMPLE PREPARATION AND NEW MEMS MATERIALS Introduction Modeling Brains In Miniaturization Packaging Substrate Choice SCALING, ACTUATORS, AND POWER IN MINIATURIZED SYSTEMS Introduction Scaling Actuators Fluidics Scaling In Analytical Separation Equipment Other Actuators Integrated Power MINIATURIZATION APPLICATIONS Introduction Definitions and Classification Method Decision Three OVERALL MARKET For MICROMACHINES Introduction Why Use Miniaturization Technology ? From Perception to Realization Overall MEMS Market Size MEMS Market Character MEMS Based on Si Non-Silicon MEMS MEMS versus Traditional Precision Engineering The Times are a'Changing APPENDICES Metrology Techniques WWW Linkpage Etch Rate for Si, SiO2 Summary of Top-Down Miniaturization Tools Listing of names of 20 amino acids & their chemical formulas Genetic code Summary of Materials and Their Properties for Microfabrication References for Detailed Market Information on Miniature Devices MEMS Companies Update Suggested Further Reading Glossary Symbols used in Text INDEX Each chapter also contains sections of examples and problems

1,930 citations


Journal ArticleDOI
TL;DR: In this article, the surface properties of polypropylene (PP) films using an air dielectric barrier discharge was studied using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and contact angle measurement.

270 citations


Journal ArticleDOI
TL;DR: In this article, the propagation of basal plane dislocations from off-axis 4H silicon carbide substrates into the homo-epitaxial layers has been investigated using chemical etching, optical microscopy, and transmission electron microscopy (TEM).

219 citations


Patent
Xueping Xu, Robert P. Vaudo1
04 Jun 2002
TL;DR: In this paper, a high quality wafer comprising AlxGayInzN, wherein 0
Abstract: A high quality wafer comprising AlxGayInzN, wherein 0

188 citations


Journal ArticleDOI
TL;DR: In this article, a photoluminescent porous silicon (PSi) was produced by Pt-assisted electroless etching of p−-Si in a 1:2:1 solution of HF, H2O2, and methanol.
Abstract: Photoluminescent porous silicon (PSi) was produced by Pt-assisted electroless etching of p−-Si (100) in a 1:2:1 solution of HF, H2O2, and methanol. The peak emission wavelength of the PSi could be tuned in the range 500 nm⩽λ⩽600 nm simply by changing the time of etching. The luminescence is sufficiently intense at all wavelengths to be visible by eye. Furthermore, by patterning the metal areas on the surface prior to etching, the luminescence can be controlled spatially. To investigate the relationship among processing variables — principally etch time and spatial proximity to Pt — and morphology, scanning electron microscopy (SEM), true color fluorescence microscopy, and spatially resolved phonon line shape studies were undertaken. SEM images show nanocrystalline features in the region where the luminescence originates, a region which shifts spatially as a function of etch time, as indicated by fluorescence microscopy. Raman scattering measurements of the shift and broadening of the longitudinal optical ...

161 citations


Journal ArticleDOI
TL;DR: In this article, a reactive ion etching (RIE) system was used to etch diamond surfaces with patterned Al masks under conditions that the RF power was 100-280 W, the CF 4 /O 2 ratio was 0-12.5% and the gas pressure 2-40 Pa.

155 citations


Patent
22 May 2002
TL;DR: In this paper, an etching method for micromechanical devices is described, where a substrate is provided and a sacrificial layer is provided directly or indirectly on the substrate; the second etch is performed by a gas that chemically but not physically etches the additional sacrificial material.
Abstract: An etching method, such as for forming a micromechanical device, is disclosed. One embodiment of the method is for releasing a micromechanical structure, comprising, providing a substrate; providing a sacrificial layer directly or indirectly on the substrate; providing one or more micromechanical structural layers on the sacrificial layer; performing a first etch to remove a portion of the sacrificial layer, the first etch comprising providing an etchant gas and energizing the etchant gas so as to allow the etchant gas to physically, or chemically and physically, remove the portion of the sacrificial layer; performing a second etch to remove additional sacrificial material in the sacrificial layer, the second etch comprising providing a gas that chemically but not physically etches the additional sacrificial material. Another embodiment of the method is for etching a silicon material on or within a substrate, comprising: performing a first etch to remove a portion of the silicon, the first etch comprising providing an etchant gas and energizing the etchant gas so as to allow the etchant gas to physically, or chemically and physically, remove the portion of silicon; performing a second etch to remove additional silicon, the second etch comprising providing an etchant gas that chemically but not physically etches the additional silicon.

151 citations


Patent
07 May 2002
TL;DR: In this paper, a method for etching tungsten or tungstern nitride in semiconductor structures is presented. But the method is not suitable for the etch profile control and the etchant species are generated from a combination of sulfur hexafluoride and nitrogen (N 2 ).
Abstract: The present invention relates to a method of etching tungsten or tungsten nitride in semiconductor structures. We have discovered a method of etching tungsten or tungsten nitride which permits precise etch profile control while providing a rapid etch rate. In particular, the method employs the use of a plasma source gas where the chemically functional etchant species are generated from a combination of sulfur hexafluoride (SF 6 ) and nitrogen (N 2 ), where the sulfur hexafluoride and nitrogen are provided in a volumetric flow rate ratio within the range of about 1:2.5 to about 6:1.

147 citations


Journal ArticleDOI
TL;DR: In this paper, a-Si-H/muc-Si:H tandem cells with high rate deposition using plasma enhanced chemical vapour deposition at 13.56 MHz plasma excitation frequency were developed for thin film solar cells yielding efficiencies of 8.1% and 7.5% at deposition rates of 5 and 9 Angstrom/s.

131 citations


Journal ArticleDOI
TL;DR: In this article, the etch rates of the +z and -z faces of single crystal lithium niobate immersed in HF and HNO3 acid mixtures of varying ratios were investigated.
Abstract: We report the experimental measurements for etch rates of the +z and -z faces of single crystal lithium niobate immersed in HF and HNO3 acid mixtures of varying ratios. We find that pure HF produces an etch rate that is a factor of 2 higher than the rate obtained for the more frequently used mixture of HF/HNO3 in a 1 : 2 ratio. We further observe that the quality of etching is improved for either pure HF or HF/HNO3 in a 1 : 4 ratio, again by comparison with use of a 1 : 2 ratio. These results lead to a discussion of the etch chemistry involved, and an explanation for the observed high degree of differential etching between the +z and -z crystal faces.

117 citations


Journal ArticleDOI
TL;DR: In this paper, two bands blue-shifted from the bulk band gap energy by 103 meV and 352 meV, respectively, were observed to have anisotropic wire-like structures in the morphology.
Abstract: Nanoporous GaN structures were formed from crystalline GaN on conducting SiC substrate using metal-assisted electroless etching in HF/H2O2. Morphology varies as a function of etch time and solution parameters. The resulting porous GaN (PGaN) displays cathodoluminescence (CL) with two bands blue-shifted from the bulk bandgap energy by 103 meV and 352 meV, respectively. Appearance of the blueshifted emission is correlated with the development of highly anisotropic wire-like structures in the morphology, with the higher energy CL band arising from the portion of the structure with the smallest feature sizes. These observations suggest that the blueshifted emission arises from quantum confinement effects. CL imaging indicates that the blueshifted emission is spatially segregated from the band gap emission. Variations in morphology and light emission properties apparent between Pt-coated and uncoated areas likely arise from hole transport and access of solution reagents to the GaN interface.

Journal ArticleDOI
TL;DR: In this article, the influence of pre-etch phosphorus composition and etching method on the resulting morphology, composition and reflectance of the black surface produced has been examined and an optimum phosphorus composition was proposed to produce low reflectance blacks of 0.4% or lower in the visible region.
Abstract: Novel insights into the manufacture of nickel–phosphorus black surfaces by chemical etching of electroless-deposited Ni–P alloy has been achieved by examining the influence of pre-etch phosphorus composition and etching method on the resulting morphology, composition and reflectance of the black surface produced. An optimum phosphorus composition and etching regime to produce low reflectance blacks of 0.4% or lower in the visible region is proposed. Cross-sectional analysis of the etched surface has allowed, for the first time, an accurate determination of the scale of the enhanced morphologies produced and the thickness of the oxidised black layer itself. AFM studies have also provided information on the phase structure of the as-deposited Ni–P alloy.

Journal ArticleDOI
TL;DR: In this paper, different simple and inexpensive approaches to the fabrication of inverse opals originated from silica opal templates with sphere size in the range between 0.2 and 1.3 μm.

Journal ArticleDOI
01 Jan 2002-Langmuir
TL;DR: In this paper, a procedure that uses microcontact printing and wet chemical etching to fabricate patterned films of indium tin oxide (ITO) and indium zinc oxide (IZO) was described.
Abstract: We report a procedure that uses microcontact printing and wet chemical etching to fabricate patterned films of indium tin oxide (ITO) and indium zinc oxide (IZO). The procedure consists of three steps: (1) inking a patterned elastomeric stamp with an alkanephosphonic acid; (2) microcontact printing to form a patterned multilayer film of alkanephosphonic acid on the surface of an ITO or IZO film; (3) etching the unprotected regions of the ITO or IZO film using 0.05 M oxalic acid as the etchant. We demonstrate this procedure by fabricating patterned ITO and IZO films with areas as large as 15 cm2 and minimum feature sizes of ∼2 μm. The key step in this procedure is applying the alkanephosphonic acid ink to the surface of the stamp. We present two different inking methods to illustrate the impact of stamp inking on the quality of printed and etched ITO and IZO films.

Journal ArticleDOI
TL;DR: The etching of polysilicon by low energy Cl2+HBr plasma beam was studied in this article, and the etching yield as a function of composition, ion impingement energy and ion incident angle was measured.
Abstract: The etching of polysilicon by low energy Cl2+HBr plasma beam was studied, and the etching yield as a function of composition, ion impingement energy and ion incident angle was measured. The etching yield by HBr plasma beam is slightly lower than Cl2 plasma beam. The angular dependence of etching yield by both Cl2 and HBr beam strongly suggests the mechanism of ion induced chemical etching, with highest etching yield at normal incident angle. For Cl2 beam, the etching yield almost keeps constant until the off-normal incident angle of ions increased to 45°, while for HBr beam, the etching yield starts dropping even with small off-normal angle. The angular dependence of etching yield by Cl2+HBr plasma at different composition exhibits similar trend as pure HBr. Using x-ray photoelectron spectroscopy, the coverage of Cl and Br on polysilicon surfaces after etching in Cl2+HBr plasmas was measured. The Cl coverage after etching with pure Cl2 plasma beam is about 1.4 times higher than the Br coverage after etchi...

Journal ArticleDOI
TL;DR: In this article, the defect-selective etching of Ga polar surface of GaN single crystals and epitaxial layers is performed in molten KOH-NaOH eutectic (E) with an addition of 10% of MgO powder (E+M).

Journal ArticleDOI
TL;DR: In this paper, anhydrous hydrogen fluoride (HF) gas and alcoholic vapor such as methanol, isopropyl alcohol (IPA) was characterized and its selective etching properties were discussed.
Abstract: In silicon surface micromachining, anhydrous HF GPE process was verified as a very effective method for the dry release of microstructures. The developed gas-phase etching (GPE) process with anhydrous hydrogen fluoride (HF) gas and alcoholic vapor such as methanol, isopropyl alcohol (IPA) was characterized and its selective etching properties were discussed. The structural layers are P-doped multi-stacked polysilicon and silicon-on-insulator (SOI) substrates and sacrificial layers are tetraethylorthosilicate (TEOS), low-temperature oxide (LTO), plasma enhanced chemical vapor deposition (PECVD) oxide, phosphosilicate glass (PSG) and thermal oxides on silicon nitride or polysilicon substrates. We successfully fabricated and characterized micro electro mechanical system (MEMS) devices with no virtually process-induced stiction and no residues. The characteristics of the MEMS devices for microsensor and microactuator, microfluidic elements and optical MEMS application were evaluated by experiment.

Journal ArticleDOI
TL;DR: In this paper, the authors showed that the concerted attack by HF and H2O enhances the etch rate over etching by HF alone by reducing the barrier for each etching step, consistent with experimental observations that HF etching is enhanced by the presence of water.
Abstract: Quantum chemistry is used to investigate the HF/H2O chemical etching mechanism of silicon dioxide. Etching proceeds through four sequential steps to remove silicon as SiF4(g) for silicon dioxide, eventually leading to a fluorine-terminated silicon surface which HF attacks, resulting in a hydrogen-passivated silicon surface. Our predicted activation barriers show that the concerted attack by HF and H2O enhances the etch rate over etching by HF alone by reducing the barrier for each etching step. This is consistent with experimental observations that HF etching is enhanced by the presence of water. The QCISD barrier for the rate-limiting step of this catalytic HF/H2O etching mechanism is 22.1 kcal/mol, while the QCISD etching barrier for HF etching is 35.1 kcal/mol. In addition, we find that the F-terminated silica surface is not readily hydrolyzed by exposure to water since the forward barrier of 32.1 kcal/mol for hydrolysis of F-terminated silicon dioxide is larger than the reverse barrier of 26.2 kcal/mol.

Journal ArticleDOI
TL;DR: In this article, the selective growth of wurtzite GaN was performed by MOVPE on (1 1 0 1) facets on a patterned 7-degree off-oriented (0 − 0 − 1) silicon substrate, which had been prepared by anisotropic etching with KOH solution.

Patent
Jung Woo Chan1, Jong Koo Lee1
08 May 2002
TL;DR: In this article, a gate pattern can be completely filled without a void by performing sputtering etch on interlayer dielectric films formed on gate patterns, thereby enhancing the reliability of a semiconductor device.
Abstract: A method for fabricating a semiconductor device and forming an insulating film used therein, includes forming an isolation insulating film on a semiconductor wafer and forming gates, separated by gaps having a predetermined distance, on an active region. Next, a first interlayer dielectric film is deposited to a predetermined thickness on the semiconductor wafer having the gates, so that the gaps between the gates are not completely filled. Then, a sputtering etch is performed entirely on a surface of the first interlayer dielectric film. Thereafter, the first interlayer dielectric film is partially removed through isotropic etching. Next, a second interlayer dielectric film is deposited on the first interlayer dielectric film so that the gaps between the gates are completely filled. According to the above method, a gap between gate patterns can be completely filled without a void by performing sputtering etch on interlayer dielectric films formed on gate patterns, thereby enhancing the reliability of a semiconductor device.

Patent
22 Mar 2002
TL;DR: In this article, the authors describe an etch system where a vapor phase etchant is provided to an etching chamber at a total gas pressure of 10 Torr or more.
Abstract: The etching of a material in a vapor phase etchant is disclosed where a vapor phase etchant is provided to an etching chamber at a total gas pressure of 10 Torr or more, preferably 20 Torr or even 200 Torr or more. The vapor phase etchant can be gaseous acid etchant, a noble gas halide or an interhalogen. The sample/workpiece that is etched can be, for example, a semiconductor device or MEMS device, etc. The material that is etched/removed by the vapor phase etchant is preferably silicon and the vapor phase etchant is preferably provided along with one or more diluents. Another feature of the etching system includes the ability to accurately determine the end point of the etch step, such as by creating an impedance at the exit of the etching chamber (or downstream thereof) so that when the vapor phase etchant passes from the etching chamber, a gaseous product of the etching reaction is monitored, and the end point of the removal process can be determined. The vapor phase etching process can be flow through, a combination of flow through and pulse, or recirculated back to the etching chamber. A first plasma or wet chemical etch (or both) can be performed prior to the vapor phase etch.

Journal ArticleDOI
TL;DR: In this paper, a high density electron cyclotron resonance plasma was used to synthesize amorphous carbon and carbon nitride alloys from methane, acetylene, or acetylene-nitrogen precursor gases.
Abstract: Hydrogenated amorphous carbon and carbon nitride films (a-C1−xNx:H) have been synthesized from methane, acetylene, or acetylene–nitrogen precursor gases using a high density electron cyclotron resonance plasma. The deposition and etching rates, along with the film stoichiometry, density, Raman signature of the sp2 phase, and optical and transport properties, have been studied as a function of plasma parameters (microwave power and negative bias of the substrate). While low-density H-rich carbon films have been grown from methane for ion energies up to 200 eV, films grown using acetylene have been obtained at high deposition rate (1.1 nm s−1) with H content below 25 H at. % and density of 2.0 g cm−3, which makes them interesting as electronic materials. For dense carbon nitride alloys, the maximum (N/N+C)=0.35 is limited by the vanishing growth rate, which results from ion-assisted chemical etching mechanisms. A larger N2 plasma etching rate related with lower film density is observed for (N/N+C) values ab...

Journal ArticleDOI
TL;DR: In this article, the progress of the dry etching technology for dielectric materials and the ASET project were reviewed, especially for a self-aligned contact (SAC) hole etching.

Journal ArticleDOI
TL;DR: In this article, the authors used near-field photolithography (SNP) to generate compositional chemical patterns and transferred them to the underlying substrate by wet etch techniques.
Abstract: Nanolithography of self-assembled monolayers (SAMs) has been performed by the new technique of scanning near-field photolithography (SNP). Patterns of parallel lines written by SNP have subsequently either been used to generate compositional chemical patterns or been transferred into the underlying substrate by wet etch techniques. Lateral force microscopy (LFM) and atomic force microscopy (AFM) analysis has indicated that the line width of both compositional chemical patterns in SAMs and structures in gold is limited only by the aperture of the fiber tip used to deliver UV light. Features only 55 ± 5 nm wide have been etched into gold films using this method.

Journal ArticleDOI
TL;DR: In this article, a mathematical model of the macroporous silicon of the real layer was realized, to develop the technology of chemical and electrochemical etching, which allowed to develop a cost-effective silicon solar cell with a new form of the surface texture.

Journal ArticleDOI
TL;DR: In this article, the removal of silicon oxychloride films from a SF6 plasma was investigated using multiple surface and plasma diagnostics, using a diagnostic technique based on the principles of multiple total internal reflection Fourier transform infrared spectroscopy.
Abstract: Silicon oxychloride films deposited on plasma etching reactor walls during the Cl2/O2 plasma etching of Si must be removed to return the reactor to a reproducible state prior to etching the next wafer. Using multiple surface and plasma diagnostics, we have investigated the removal of this silicon oxychloride film using an SF6 plasma. In particular, a diagnostic technique based on the principles of multiple total internal reflection Fourier transform infrared spectroscopy was used to monitor the films that formed on the reactor walls. The silicon oxychloride film etching proceeds by incorporation of F, which also abstracts and replaces the Cl atoms in the film. If the SF6 plasma is not maintained for a sufficiently long period to remove all the deposits, the F incorporated into the film leaches out into the gas phase during the subsequent etch processes. This residual F can have undesirable effects on the etching performance and the wafer-to-wafer reproducibility. The removal of the silicon oxychloride fil...

Patent
09 May 2002
TL;DR: In this article, a method of etching high dielectric constant materials using a halogen gas, a reducing gas and an etch rate control gas chemistry was proposed, which was shown to work well on a high-dielectric material.
Abstract: A method of etching high dielectric constant materials using a halogen gas, a reducing gas and an etch rate control gas chemistry.

Journal ArticleDOI
TL;DR: In this article, a contact-mode atomic force microscope (AFM) was used to anodize a surface with respect to a conducting cantilever under ambient conditions, and patterned areas were selectively modified by chemical etching and coating with different molecules.
Abstract: Alkyl monolayers covalently bound to silicon were prepared through the reaction between 1-alkene molecules and hydrogen-terminated Si. The surfaces were anodized in nanometer scale with a contact-mode atomic force microscope (AFM) by applying positive bias voltage to the surface with respect to a conducting cantilever under ambient conditions. Following the anodization, patterned areas were selectively modified by chemical etching and coating with different molecules. The alkyl monolayers showed high resistance against chemical etching and protected Si surfaces from oxidation. AFM lithography of monolayers on Si was found to be useful for nanofabrication of organic/inorganic interfaces based on the Si–C covalent bond.

Journal ArticleDOI
TL;DR: In this article, the ultrasonic agitation has been introduced to reduce the surface roughness and improve the etching uniformity of (1.0.0) Si. Smooth pyramid-free surfaces were obtained with the uniform etching depth within the resolution of 1μm on the same wafer.
Abstract: High precision bulk micromachining based on wet anisotropic etching of silicon is essential for the fabrication of MEMS devices. For the most commonly used KOH and tetramethylammonium hydroxide (TMAH) anisotropic etching, ultrasonic agitation has been introduced to reduce the surface roughness and improve the etching uniformity. Etching characteristics of (1 0 0) Si have been studied and compared with that using magnetic stirring and that using no agitation. Smooth pyramid-free surfaces were obtained with the uniform etching depth within the resolution of 1 μm on the same wafer being achieved at the same time. The results reveal that the ultrasonic agitation is a very efficient way to achieve smooth, defect-free silicon surface with high dimensional uniformity on the whole wafer.

Book
28 Sep 2002
TL;DR: In this paper, the authors present a procedure for automatic grinding and polishing of coarse-grained and coarse-to-fine terracotta granules in the laboratory.
Abstract: Introduction. Ceramography in Materials Science. Crystallography. Laboratory Safety. Ceramographic Laboratory Design. Ceramic Fabrication. Ceramics Commercial Fabrication of Ceramics Laboratory Fabrication of Ceramics Sawing and Mounting. Sawing. Mounting. Edge Retention. Beveled Edge. Ceramographic Mounting Resins. Grinding and Polishing. Automatic Grinding. Automatic Polishing. Manual Grinding. Manual Polishing. Grinding and Polishing Accessories. Etching. Thermal Etching. Chemical Etching. Electrolytic Etching. Other Etching Methods. Overetched Ceramics. Petrographic Thin Section Preparation. Sawing. Mounting. Grinding. Optics and Microscopy. The Microscope. Kohler Illumination. Magnification and Resolution. Depth of Field. Differential Interference Contrast. Dark-Field Illumination. Oil Immersion. Stereomicroscopy. Crystal Optics. Petrography-Transmitted Light and Thin Sections. Replication and Field Ceramography. Sputter Coating. Scanning Electron Microscopy. Other Microscope Types. As-Fired Surface. Stereo Pairs. Acoustic Microscopy. Confocal Laser Scanning Microscopy. Micrography. Atlas of Ceramic Microstructures. Alumina. Borides. Carbides. Composites. Metallized Ceramics. Nitrides. Oxides. Silicon Carbide. Spinel. Zirconia. Quantitative Ceramography. Stereology. Grain Size. Grain Shape. Porosity and Second-Phase Content. Microindentation Hardness. Toughness. Qualitative Ceramography. Morphology. Phase Determination. Preferred Orientation. Fractography. Artifacts. Image Analysis. Algorithm. Critical Aspects. Measurements. Digital Images. Appendix A: ASTM Procedures Applicable to Ceramography. Headings in the ASTM Subject Index. Appendix B: Ceramographic Equipment Manufacturers. Appendix C: Abrasive Size Equivalents.