scispace - formally typeset
Search or ask a question

Showing papers on "Isotropic etching published in 2005"


Journal ArticleDOI
31 Aug 2005-Langmuir
TL;DR: The dislocation etching concept introduced here may be helpful in the fabrication of superhydrophobic surfaces on other polycrystalline substrates.
Abstract: A surface roughening method by simple chemical etching was developed for the fabrication of superhydrophobic surfaces on three polycrystalline metals, namely aluminum, copper, and zinc. The key to the etching technique was the use of a dislocation etchant that preferentially dissolves the dislocation sites in the grains. The etched metallic surfaces, when hydrophobized with fluoroalkylsilane, exhibited superhydrophobic properties with water contact angles of larger than 150°, as well as roll-off angles of less than 10° for 8-μL drops. Also, the dislocation etching concept introduced here may be helpful in the fabrication of superhydrophobic surfaces on other polycrystalline substrates.

749 citations


Journal ArticleDOI
TL;DR: The wet etching of GaN, AlN, and SiC is reviewed in this paper, including conventional etching in aqueous solutions, electrochemical etch in electrolytes and defect-selective chemical etched in molten salts.
Abstract: The wet etching of GaN, AlN, and SiC is reviewed including conventional etching in aqueous solutions, electrochemical etching in electrolytes and defect-selective chemical etching in molten salts. The mechanism of each etching process is discussed. Etching parameters leading to highly anisotropic etching, dopant-type/bandgap selective etching, defect-selective etching, as well as isotropic etching are discussed. The etch pit shapes and their origins are discussed. The applications of wet etching techniques to characterize crystal polarity and defect density/distribution are reviewed. Additional applications of wet etching for device fabrication, such as producing crystallographic etch profiles, are also reviewed.

680 citations


Journal ArticleDOI
TL;DR: Uniform cubooctahedral nanoparticles of Pd were synthesized using a modified polyol process, and like the silver system, the Pd nanoparticles underwent dissolution due to oxidative etching by Cl-/O2.
Abstract: Uniform cubooctahedral nanoparticles of Pd were synthesized using a modified polyol process. Like the silver system, the Pd nanoparticles underwent dissolution due to oxidative etching by Cl-/O2. In the early stage of etching, the twinned particles in a sample were selectively removed to leave behind uniform, single-crystal cubooctahedra. If the etching was allowed to continue, the single-crystal cubooctahedra were also attacked and partially dissolved. Understanding the role of oxidative etching is critical to the achievement of both uniform shape and size.

414 citations


Journal ArticleDOI
TL;DR: Different processes involving an inductively coupled plasma reactor either for deep reactive ion etching or for isotropic etching of silicon for photonic MEMS application is presented.

301 citations


Journal ArticleDOI
TL;DR: There is an energy-per-pulse threshold at which etching of the laser-modified zones becomes highly polarization selective, and the enhanced selective etching is due to long-range, periodic, polarization-dependent nanostructures formed in the Laser-modified material.
Abstract: We fabricate microchannels in fused silica by femtosecond laser irradiation followed by etching in diluted hydrofluoric acid. We show a dramatic dependence of the etch rate on the laser polarization, spanning 2 orders of magnitude. We establish the existence of an energy-per-pulse threshold at which etching of the laser-modified zones becomes highly polarization selective. The enhanced selective etching is due to long-range, periodic, polarization-dependent nanostructures formed in the laser-modified material.

272 citations


Journal ArticleDOI
TL;DR: In this paper, a solution for improving the quality of the surface generated during deep wet etching of glass using an HF (49%)/HCl (37%) solution in a volumetric ratio 10:1 was presented.
Abstract: The paper presents a solution for improving the quality of the surface generated during deep wet etching of glass using an HF (49%)/HCl (37%) solution in a volumetric ratio 10:1. Pyrex glass (Corning 7740) and soda lime glass were analyzed. In addition, the characterization of the main masking layers, including photoresist, amorphous silicon, polysilicon and Cr/Au for deep wet etching in the optimal solution, is described.

177 citations


Patent
15 Aug 2005
TL;DR: In this article, a cleaning method for an etching apparatus for a metal film that efficiently removes a residue deposited in an etch process chamber, assures the reproducibility of the etching performance, and keeps the etaching process chamber in a low-dust-emission condition is presented.
Abstract: To provide a cleaning method for an etching apparatus for a metal film that efficiently removes an etching residue deposited in an etching process chamber, assures the reproducibility of the etching performance, and keeps the etching process chamber in a low-dust-emission condition. Each time one workpiece with a metal film is etched (S 1 ), the interior of the vacuum chamber is cleaned by replacing the workpiece with a dummy substrate (S 2 ), performing a first step of plasma processing using oxygen (O 2 ) and carbon tetrafluoride (CF 4 ) to remove a carbon-based deposit pile (S 3 ), and performing a second step of plasma processing using boron trichloride (BCl 3 ) and chlorine (Cl 2 ) to remove a residue that could not be removed by the first step and an etching residue of the metal film (S 4 ).

172 citations


Patent
13 Jan 2005
TL;DR: In this article, a continuous in situ process of deposition, etching, and deposition is provided for forming a film on a substrate using a plasma process, and the etch-back may be performed without separate plasma activation of the etchant gas.
Abstract: A continuous in situ process of deposition, etching, and deposition is provided for forming a film on a substrate using a plasma process. The etch-back may be performed without separate plasma activation of the etchant gas. The sequence of deposition, etching, and deposition permits features with high aspect ratios to be filled, while the continuity of the process results in improved uniformity.

162 citations



Journal ArticleDOI
TL;DR: In this paper, deep reactive ion etching (DRIE) of borosilicate glass was carried out using SF 6 and SF 6/Ar plasmas in an inductively coupled plasma (ICP) reactor.

133 citations


Journal ArticleDOI
TL;DR: In this article, the distribution of the tensile residual stress along the transitional region between etched and un-etched area is experimentally studied and the result reveals the stress is continuous across the region.

Journal ArticleDOI
TL;DR: In this article, a top-down approach was proposed to generate single-crystalline wires of various compound semiconductors using photolithography or phase shift optical lithography with anisotropic chemical etching.
Abstract: Nano/microwires of semiconducting materials (e.g., GaAs and InP) with triangular cross-sections can be fabricated by "top-down" approaches that combine lithography of high-quality bulk wafers (using either traditional photolithography or phase-shift optical lithography) with anisotropic chemical etching. This method gives good control over the lateral dimensions, lengths, and morphologies of free-standing wires. The behaviors of many different resist layers and etching chemistries are presented. It is shown how wire arrays with highly ordered alignments can be transfer printed onto plastic substrates. This "top-down" approach provides a simple, effective, and versatile way of generating high-quality single-crystalline wires of various compound semiconductors. The resultant wires and wire arrays have potential applications in electronics, optics, optoelectronics, and sensing.

Journal ArticleDOI
TL;DR: In this article, two kinds of surface texturization of multicrystalline silicon (mc-Si) obtained by wet chemical etching are investigated in view of implementation in the solar cell processing.
Abstract: Two kinds of surface texturization of mc-Si obtained by wet chemical etching are investigated in view of implementation in the solar cell processing The first one was the acid texturization of saw damage on the surface of multicrystalline silicon (mc-Si) The second one was macro-porous texturization prepared by double-step chemical etching after KOH saw damage layer was previously removed Both methods of texturization are realized by chemical etching in HF-HNO3-H2O with different additives Macro-porous texturization allows to obtain effective reflectivity (Reff) in the range 9–20% from bare mc-Si This Reff value depends on the time of second step etching that causes porous structure modification The internal quantum efficiency (IQE) of cells with this kind of texturization has possibility to reach better conversion efficiency than the standard mc-Si solar cells However, low shunt resistance depends on morphology of porous layer and it is the main factor which can reduce open circuit voltage and conversion efficiency of cells The effective reflectivity is about 17% for acid texturized mc-Si wafer The investigation of surface morphology by scanning electron microscopy (SEM) revealed that the dislocations are appearing during chemical etching and they can reduce open circuit voltage The density of the dislocations can be reduced by controlling depth of etching and optimisation of acid solution

Journal ArticleDOI
TL;DR: In this article, the etch of silicon, SiGe and germanium layers with gaseous HCl in reduced pressure-chemical vapour deposition (RP-CVD) was studied.
Abstract: We have studied the etching of silicon, SiGe and germanium layers with gaseous HCl in reduced pressure-chemical vapour deposition (RP-CVD). We have observed the occurrence of two etch regimes depending on the etching temperature. The first regime takes place at high temperatures and is characterized by low activation energies (~7 kcal mol−1), this whatever the germanium content of the etched layer. The other regime occurs at low temperatures and has associated high activation energies (which strongly depend upon the germanium concentration of the etched layer: 86 kcal mol−1 for pure Si versus 28 kcal mol−1 for pure Ge). Modifying the HCl partial pressure has different effects depending on the regime. In the high temperature regime, increasing the HCl partial pressure will almost quadratically increase the etch rate (ER ∝ PHCl1.76), this both for Si and Si0.67Ge0.33. Meanwhile, the dependence is sub-linear in the low temperature regime (Si ER ∝ PHCl0.53 and Si0.67Ge0.33 ER ∝ PHCl0.82). The temperature where the regime shifts from one to the other decreases when the Ge concentration increases. To illustrate the added value of the chemical vapour etching, we have demonstrated two possible applications. The first one is the realization of SiGe thin strain relaxed buffers (TSRBs) in the active areas of shallow trench isolation (STI) patterned wafers after etching away the silicon with HCl. We have observed the occurrence of some etching loading effects when moving from a blanket to a patterned wafer. The SiGe TSRBs exhibit some good structural properties (rms roughness of 0.12 nm, no defects observed in cross-sectional transmission electron microscopy). However, they are not fully relaxed and facets are present at the STI/epitaxial stack boundary, signifying they are still not mature enough to be integrated in a metal oxide semiconductor technology. Another possible application is to decorate through some in situ HCl etching the dislocations threading through SiGe relaxed thick layers, with some significant advantages over commonly used wet etching solutions such as the Secco and the Schimmel ones.

Journal ArticleDOI
TL;DR: In this paper, the authors used electron beam lithography, reactive ion etching, and wet chemical etching steps followed by a drying procedure to obtain the helical angle, chirality, pitch and diameter of the hybrid helical nanobelts.
Abstract: Helical nanobelts of SiGe/Si and SiGe/Si/Cr are fabricated by rolling up strained thin heterostructures. The fabrication involved electron beam lithography, reactive ion etching, and wet chemical etching steps followed by a drying procedure. All parameters of the helical nanobelts, namely their helical angle, chirality, pitch and diameter, are controllable in a reproducible fashion. The ease of fabrication of SiGe/Si and hybrid helical nanobelts opens new paths for the fabrication technology of micro- or nanoscale sensors, transducers, resonators and cylindrical shaped micro-capacitors.

Patent
Hiroshi Morioka1
09 Nov 2005
TL;DR: In this paper, a micro structure manufacturing method is proposed, which includes the steps of: (a) preparing an etching object having an etch target film, provided with a lower mask layer and an upper hard mask layer stacked on the etching target film.
Abstract: A micro structure manufacture method includes the steps of: (a) preparing an etching object having an etching target film, provided with a lower hard mask layer and an upper hard mask layer stacked on the etching target film; (b) forming a resist pattern above the etching object; (c) etching the upper hard mask film by using the resist pattern as an etching mask to form an upper hard mask; (d) after the step (c), removing the resist pattern; (e) after the step (d), thinning the upper hard mask by etching; (f) etching the lower hard mask film by using the thinned upper hard mask as an etching mask to form a lower hard mask; and (g) etching the etching target film by using the upper hard mask and the lower hard mask as an etching mask, wherein the upper hard mask film is capable of being more easily etched, using the resist pattern as a mask, than the lower hard mask film. The micro structure manufacture method can etch a fine pattern with good yield.

Journal ArticleDOI
TL;DR: In this article, transfer printing organized arrays of these wires at low temperatures onto plastic substrates yield high-quality bendable metal-semiconductor field effect transistors, which can be used for emerging applications in consumer and military electronic systems.
Abstract: Micro/nanowires of GaAs with integrated ohmic contacts have been prepared from bulk wafers by metal deposition and patterning, high-temperature annealing, and anisotropic chemical etching. These wires provide a unique type of material for high-performance devices that can be built directly on a wide range of unusual device substrates, such as plastic or paper. In particular, transfer printing organized arrays of these wires at low temperatures onto plastic substrates yield high-quality bendable metal-semiconductor field-effect transistors. Electrical and mechanical characterization of devices on poly(ethylene terephthalate) illustrates the level of performance that can be achieved. These results indicate promise for this approach to high-speed flexible circuits for emerging applications in consumer and military electronic systems.

Journal ArticleDOI
TL;DR: It is shown that the luminescence efficiency of single-crystal InP nanowires can be improved by photoassisted wet chemical etching in a butanol solution containing HF and the indium-coordinating ligand trioctylphosphine oxide.
Abstract: As-grown single-crystal InP nanowires, covered with a surface oxide, show a photoluminescence efficiency that strongly varies from wire to wire. We show that the luminescence efficiency of single-crystal InP nanowires can be improved by photoassisted wet chemical etching in a butanol solution containing HF and the indium-coordinating ligand trioctylphosphine oxide. Electron-hole photogeneration, electron scavenging, and oxidative dissolution combined with surface passivation by the indium-coordinating ligand are essential elements to improve the luminescence efficiency. Time traces of the luminescence of surface-passivated wires show strong oscillations resembling the on-off blinking observed with single quantum dots. These results reflect the strong influence of a single or a few nonradiative recombination center(s) on the luminescence properties of an entire wire.


Journal ArticleDOI
TL;DR: In this article, the tetramethyl ammonium hydroxide (TMAH), (CH3)4NOH, solution for silicon random texturing was used for Heterojunction solar cells.

Journal ArticleDOI
TL;DR: The island motion is explained by asymmetric surface-mediated alloying by leaving one side of the island by surface diffusion, and mixes with additional Si from the surrounding surface as it redeposits on the other side while becoming larger and more dilute.
Abstract: SiGe islands move laterally on a Si(001) substrate during in situ postgrowth annealing. This surprising behavior is revealed by an analysis of the substrate surface morphology after island removal using wet chemical etching. We explain the island motion by asymmetric surface-mediated alloying. Material leaves one side of the island by surface diffusion, and mixes with additional Si from the surrounding surface as it redeposits on the other side. Thus the island moves laterally while becoming larger and more dilute.

Journal ArticleDOI
TL;DR: In this article, the effects of selected etchants and machining conditions on the depth of etch and surface roughness were investigated, and the experimental study provided that ferric chloride produced the fastest chemical etch rate, but cupric chloride provided the smoothest surface quality.

Journal ArticleDOI
TL;DR: In this paper, a detailed kinetic study was performed to elucidate the mechanism of wet chemical etching of silicon in a HF-rich HF/HNO 3 mixture, and the etch rates were studied as a function of temperature, silicon content of the etchant (utilization), and stirring speeds.
Abstract: A detailed kinetic study was performed to elucidate the mechanism of wet chemical etching of silicon in a HF-rich HF/HNO 3 mixture. In contrast to earlier studies, the etch rates were determined by dissolution of only a few milligrams of silicon in carefully thermostatted acid mixtures in order to avoid a change in composition during the experiments and an uncontrolled warming of the etchant. All etch experiments were followed by chemical analytics. The etch rates were studied as a function of temperature, silicon content of the etchant (utilization), and stirring speeds. By choosing proper reaction conditions, intermediates of the reduction process of HNO 3 , such as N 2 O 3 , were stabilized and spectroscopically identified. Furthermore, it was found that the nitrite ion concentration, measured in diluted etchant solution by ion chromatography, acts as a parameter for the reactive N(III) species in the concentrated etchant. Two different etch regimes were identified. In the region of high nitrite concentrations, the etch rate is apparently independent on the nitrite concentration. At lower nitrite concentrations, the etch rate decreases linearly with the nitrite concentration. Kinetic examinations showed that the reaction mechanism remains unchanged in both regimes. Furthermore, the kinetic parameters of nitrite decays were determined. The obtained results provide the first explanation of why an etch mixture of constant HF-HNO 3 ratio at given Si content can exhibit different etch behavior. A mechanistic model on the role of N(III) species and dissolved gases in the etching process including a suggestion of the rate-limiting step is presented. Consequences for technical applications are discussed.

Journal ArticleDOI
TL;DR: It is presently argued that the etched NCs adopt pyramidal morphologies with Cd-terminated facets and account for the apparent resistance to etching at the plateau regions, which is consistent with the HRTEM results.
Abstract: The treatment of CdSe nanocrystals (NCs) in a 3-amino-1-propanol (APOL)/water (v/v = 10:1) mixture at 80 °C in the presence of O2 causes them to undergo a slow chemical etching process, as evidenced by spectroscopic and structural investigations. Instead of the continuous blue shift expected from a gradual decrease in NC dimensions, a bottleneck behavior was observed with distinct plateaus in the peak position of photoluminescence (PL) and corresponding maxima in PL quantum yield (i.e., 34 ± 7%). It is presently argued that such etching behavior is a result of two competitive processes taking place on the surface of these CdSe NCs: (i) oxidation of the exposed Se-sites to acidic SeOx entities, which are readily solubilized in the basic APOL/H2O mixture, and (ii) coordination of the underlying Cd-sites with both amines and hydroxyl moieties to temporally impede NC dissolution. This is consistent with the HRTEM results, which suggest that the etched NCs adopt pyramidal morphologies with Cd-terminated facet...

Journal ArticleDOI
TL;DR: G gaps with 2 microm, 100 nm and 20 nm widths are demonstrated via the above-mentioned approaches and it is observed that small gaps can be formed through annealing Au-Ag-Au nanowires at 200 degrees C in air.
Abstract: A method to fabricate nanowire electrodes possessing controllable gaps is described. The method relies on electrochemical deposition and selective chemical etching or heating to selectively remove the Ag segment of Au-Ag-Au nanowires. Because the thickness of the Ag segment directly dictates the size of the nanogap, the gap width can be easily controlled during the nanowire fabrication process. Herein, we demonstrate gaps with 2 microm, 100 nm and 20 nm widths via the above-mentioned approaches. In addition, we observed that small gaps (approximately 20 nm) can be formed through annealing Au-Ag-Au nanowires at 200 degrees C in air. Electrical contact between nanowire electrodes and contact pads is studied. Using nanowire electrodes with a 100 nm gap, we subsequently fabricate organic field effect transistors (FETs) with regioregular poly(3-hexylthiophene).

Journal ArticleDOI
TL;DR: In this paper, metal-assisted chemical etching is applied to produce porous silicon (PS) in a cheap and fast way, which enables the fabrication of porous silicon with different morphologies, pore distribution, and thickness by varying the deposited metal type and thickness, and the Si doping type and level.
Abstract: The application of porous silicon (PS) as a filter substrate in microstructured systems is a promising field of research. Based on this approach, metal-assisted chemical etching is applied to produce PS in a cheap and fast way. This simple and effective method enables the fabrication of PS with different morphologies, pore distribution, and thickness by varying the deposited metal type and thickness, and the Si doping type and level. The metal (Au, Pt) is sputtered in varied thicknesses on patterned p- or n-doped silicon wafers. The etching solution consists of an 1:1:1 mixture of HF:H 2 O 2 :EtOH. Etching time and temperature are varied showing a direct effect on the pore depth. To obtain a thin permeable porous Si layer, advanced silicon etching is used to microstructure the back side of the patterned wafer. Hence, the resulting PS layers turn to thin membranes ∼150 μm thick, maintaining the desired mechanical stability at enhanced gas-permeation rates and thus face a very auspicious career as gas diffusion substrates.

Journal ArticleDOI
TL;DR: The absence of double layer charging current in cyclic voltammograms of the resulting 3D nanoelectrode ensemble verified that the seal between the gold nanowires and the polycarbonate membrane was not compromised as a result of the chemical etching.
Abstract: A simple chemical etching procedure based on the solubility of polycarbonate membranes in solvent mixtures is reported for fabricating 3D gold nanoelectrode ensembles. A solvent ratio of 50:50 dichloromethane/ethanol was found to be optimum for selective controlled etching of the surface layers of the polycarbonate membranes to expose up to 200-nm lengths of gold nanowires. The absence of double layer charging current in cyclic voltammograms of the resulting 3D nanoelectrode ensemble verified that the seal between the gold nanowires and the polycarbonate membrane was not compromised as a result of the chemical etching.

Journal ArticleDOI
TL;DR: In this paper, the authors describe a technique based on anodic dissolution of sacrificial metal layers for the complete or partial detachment of microstructures, where a thin-film of aluminum is selectively removed in a neutral sodium chloride solution by applying a small positive potential to the aluminum.
Abstract: The large majority of microelectromechanical systems (MEMS) are fabricated on silicon, glass or Pyrex substrates by manufacturing techniques, which originated from the semiconductors industry. However, their final application often requires removal of the fabrication substrate or at least a partial release of some section of the device. This paper describes a technique based on anodic dissolution of sacrificial metal layers for the complete or partial detachment of microstructures. As an example, a thin-film of sacrificial aluminum is selectively removed in a neutral sodium chloride solution by applying a small positive potential to the aluminum. The method is evaluated theoretically and experimentally in a defined geometry and compared to diffusion-limited, chemical etching. It is shown experimentally that the process is significantly faster than conventional wet chemical etching and the method has been used to release planar and nonplanar thin-film devices made from polymers and metals. The method is applicable for a wide range of metals as sacrificial materials and is very versatile with respect to electrolyte composition and applied voltages. Ease of sacrificial material deposition (sputtering or evaporation) and structuring and the possibility of high process temperature and the nondestructive chemical environment (also environmentally friendly) during detachment make the process technology an interesting alternative to conventional chemical etching.

Journal ArticleDOI
TL;DR: Wet-etching of amorphous Ge2Sb2Te5 films was studied by ICP and XPS spectrometries as mentioned in this paper, which revealed a low threshold voltage of 0.60 ± 0.15 V.
Abstract: Wet-etching of amorphous Ge2Sb2Te5 films was studied by ICP and XPS spectrometries. It is thought that wet-etching arises from chemical etching that starts with bond breakages, oxidation of each element and subsequent dissolution of the resultant oxides. The Ge element debonds more easily from the Ge–Sb–Te matrix than the Te element, but Ge oxide is more stable than Te oxide. The Te element debonds more sluggishly than Ge, although Te oxide is quite unstable. As a result, Ge is the first leached element that dominates the etching process. Sb is the most difficult element to leach in Ge2Sb2Te5 thin films. Cells of phase-change random access memory (PRAM) were successfully manufactured using the wet-etching process, and studies of the switching properties revealed a low threshold voltage of 0.60 ± 0.15 V.

Journal ArticleDOI
TL;DR: In this article, a new electrode configuration concept is reported to benefit from the large electrochemical capacity advantages offered by Li-driven conversion reactions and to overcome poor kinetics, which is nested in metallurgical aspects of stainless steel, namely, the appearance of temperature-driven surface microstructures that enable the growth of a nanostructured, electrochemically active, chromium-rich oxide surface layer in close contact with a current collector.
Abstract: To benefit from the large electrochemical capacity advantages offered by Li-driven conversion reactions and to overcome poor kinetics, a new electrode configuration concept is reported. The originality of this electrode design is nested in metallurgical aspects of stainless steel, namely, the appearance of temperature-driven surface microstructures that enable the growth of a nanostructured, electrochemically active, chromium-rich oxide surface layer in close contact with a current collector. The thickness of the oxide layer can reach hundreds of nanometers and is shown to be rooted in the preferential migration of Cr toward the sample surface. We further show that chemical etching of the stainless steel surface, prior to high-temperature annealing, enables reversible capacities as high as 750 mAh/g of chromium-rich oxide for at least 800 cycles. On the basis of modeling, several scenarios involving stainless steel/chromium-based oxides current collectors of various porosities show how this new electrode ...