scispace - formally typeset
Search or ask a question

Showing papers on "Isotropic etching published in 2008"


Journal ArticleDOI
TL;DR: In this paper, metal-assisted etching of silicon in HF/H2O2//H 2O solutions with Ag nanoparticles as catalyst agents was investigated, and the dissolution mechanisms were discussed on the basis of a localized hole injection from the Ag particles into Si and in terms of the well known chemistry of Si dissolution in HF-based chemical and electrochemical systems.

595 citations


Journal ArticleDOI
TL;DR: In this article, a facile fabricating method has been established for large-area uniform silicon nanowires arrays, which were obtained by single crystals and epitaxial on the substrate.
Abstract: A facile fabricating method has been established for large-area uniform silicon nanowires arrays All silicon nanowires obtained were single crystals and epitaxial on the substrate Six kinds of silicon wafers with different types, surface orientations, and doping levels were utilized as starting materials With the catalysis of silver nanoparticles, room-temperature mild chemical etching was conducted in aqueous solution of hydrofluoric acid (HF) and hydrogen peroxide (H2O2) The corresponding silicon nanowires arrays with different morphologies were obtained The silicon nanowires possess the same type and same doping level of the starting wafer All nanowires on the substrate have the same orientation For instance, both (100)- and (111)-oriented p-type wafers produced silicon nanowires in the (100) direction For every kind of silicon wafer, the effect of etching conditions, such as components of etchant, temperature, and time, were systemically investigated This is an appropriate method to produce a

527 citations


Journal ArticleDOI
TL;DR: Large-area high density silicon nanowire arrays were fabricated by metal-assisted chemical etching of silicon, utilizing anodic aluminum oxide (AAO) as a patterning mask of a thin metallic film on a Si (100) substrate.
Abstract: Large-area high density silicon nanowire (SiNW) arrays were fabricated by metal-assisted chemical etching of silicon, utilizing anodic aluminum oxide (AAO) as a patterning mask of a thin metallic film on a Si (100) substrate. Both the diameter of the pores in the AAO mask and the thickness of the metal film affected the diameter of SiNWs. The diameter of the SiNWs decreased with an increase of thickness of the metal film. Large-area SiNWs with average diameters of 20 nm down to 8 nm and wire densities as high as 10 10 wires/cm 2 were accomplished. These SiNWs were single crystalline and vertically aligned to the (100) substrate. It was revealed by transmission electron microscopy that the SiNWs were of high crystalline quality and showed a smooth surface.

321 citations


Journal ArticleDOI
TL;DR: Large-area slantingly-aligned silicon nanowire arrays (SA-SiNW arrays) on Si(111) substrate have been fabricated by wet chemical etching with dry metal deposition method and employed in the fabrication of solar cells for the first time.
Abstract: Large-area slantingly-aligned silicon nanowire arrays (SA-SiNW arrays) on Si(111) substrate have been fabricated by wet chemical etching with dry metal deposition method and employed in the fabrication of solar cells for the first time. The formation of SA-SiNW arrays possibly results from the anisotropic etching of silicon by silver catalysts. Superior to the previous cells fabricated with vertically-aligned silicon nanowire arrays (VA-SiNW arrays), the SA-SiNW array solar cells exhibit a highest power conversion efficiency of 11.37%. The improved device performance is attributed to the integration of the excellent anti-reflection property of the arrays and the better electrical contact of the cell as a result of the special slantingly-aligned structure. The high surface recombination velocity of minority carriers in SiNW arrays is still the main limitation on cell performance.

275 citations


Journal ArticleDOI
TL;DR: In this paper, the progress made in plasma etching technologies is described from the viewpoint of requirements for the manufacturing of devices, and critical applications of RIE, isotropic etching, and plasma ashing/cleaning to form precisely controlled profiles of high-aspect-ratio contacts (HARC), gate stacks, and shallow trench isolation (STI) in the front end of line (FEOL) are described in detail.
Abstract: Plasma etching technologies such as reactive ion etching (RIE), isotropic etching, and ashing/plasma cleaning are the currently used booster technologies for manufacturing all silicon devices based on the scaling law. The needs-driven conversion from the wet etching process to the plasma/dry etching process is reviewed. The progress made in plasma etching technologies is described from the viewpoint of requirements for the manufacturing of devices. The critical applications of RIE, isotropic etching, and plasma ashing/cleaning to form precisely controlled profiles of high-aspect-ratio contacts (HARC), gate stacks, and shallow trench isolation (STI) in the front end of line (FEOL), and also to form precise via holes and trenches used in reliable Cu/low-k (low-dielectric-constant material) interconnects in the back end of line (BEOL) are described in detail. Some critical issues inherent to RIE processing, such as the RIE-lag effect, the notch phenomenon, and plasma-induced damage including charge-up damage are described. The basic reaction mechanisms of RIE and isotropic etching are discussed. Also, a procedure for designing the etching process, which is strongly dependent on the plasma reactor configuration, is proposed. For the more precise critical dimension (CD) control of the gate pattern for leading-edge devices, the advanced process control (APC) system is shown to be effective.

254 citations


Journal ArticleDOI
TL;DR: In this paper, single-crystal tetragonal α-MnO2 nanotubes have been successfully synthesized by a facile hydrothermal treatment of KMnO4 in the hydrochloric acid solution.
Abstract: Single-crystal tetragonal α-MnO2 nanotubes have been successfully synthesized by a facile hydrothermal treatment of KMnO4 in the hydrochloric acid solution. The sample has been characterized by X-ray powder diffraction, field emission scanning electron microscopy, transmission electron microscopy, and orientation dependent Raman spectroscopy, which indicates the nanotubes have high-quality crystalline and shape-dependent optical properties. The morphology evolution of the sample reveals that the nanotubes are formed via the solid nanorod by a chemical etching process.

232 citations


Journal ArticleDOI
TL;DR: The feasibility of creating Ti6Al4V surfaces having both a microtexture and a nanotexture is demonstrated, and it is shown that their properties can be tailored by controlling the length of exposure to a mixture of H2SO4 and H2O2.

209 citations


Journal ArticleDOI
TL;DR: In this paper, a new method of synthesizing Mn-activated phosphor was presented using only chemical etching of Si wafer in HF/H2O solution with the addition of an oxidizing agent KMnO4.
Abstract: A new method of synthesizing Mn-activated phosphor is presented The method uses only chemical etching of Si wafer in HF/H2O solution with the addition of an oxidizing agent KMnO4 The luminescence centers of red emission are ascribed to Mn4+ ions in the octahedral sites of potassium hexafluorosilicate (K2SiF6) The luminescence intensity becomes much stronger at higher temperatures, without largely changing its spectral feature

165 citations


Journal ArticleDOI
TL;DR: High-density regular arrays of nanometer-scale rods are formed using femtosecond laser irradiation of a silicon surface immersed in water using several processes: refraction of laser light in highly excited silicon, interference of scattered and refracted light, rapid cooling in water, roughness-enhanced optical absorptance, and capillary instabilities.
Abstract: We report on the formation of high-density regular arrays of nanometer-scale rods using femtosecond laser irradiation of a silicon surface immersed in water. The resulting surface exhibits both micrometer-scale and nanometer-scale structures. The micrometer-scale structure consists of spikes of 5-10 µm width, which are entirely covered by nanometer-scale rods that are roughly 50 nm wide and normal to the surface of the micrometer-scale spikes. The formation of the nanometer-scale rods involves several processes: refraction of laser light in highly excited silicon, interference of scattered and refracted light, rapid cooling in water, roughness-enhanced optical absorptance, and capillary instabilities. As semiconductor processing reaches smaller and smaller feature sizes, much research is dedicated to the development of new methods for producing regular, nanometer-scale structures on solid surfaces. Lithography and chemical etching are powerful methods that offer control over the shape and size of structures, but they are often complex and costly. 1–3 In contrast, pulsed laser-assisted etching 4,5 is a simple but effective method for fabricating small structures directly onto a substrate. However, most of the cross sections of such structures are typically larger than the laser wavelength. 4–14

159 citations


Journal ArticleDOI
TL;DR: In this article, an optimized etching time of 2.5min is found to be essential, before Teflon coating, to obtain a highest water contact angle of 164 −± 3° with a lowest contact angle hysteresis of 2 5 −± 1.5°, with the water drops simply rolling off these surfaces with even the slightest inclination of the sample.

153 citations


Journal ArticleDOI
TL;DR: In this paper, the authors address a commonly raised question regarding wet etching of Pyrex glass: how are the defects on the glass generated during etching process while most of the masking materials are chemically inert in the etching solution?
Abstract: This paper addresses a commonly raised question regarding wet etching of Pyrex glass: “How are the defects on the glass generated during etching process while most of the masking materials are chemically inert in the etching solution?” The response to this question relies in controlling the residual stress in the masking layer (its value, gradient and nature: compressive or tensile) and controlling the hydrophobicity of the mask surface. With this response, the solution for achieving a suitable process can be easily set up: a low stress masking layer (preferably performed by successive depositions) and a hydrophobic surface of the masking layer (easily achieved, for example by hard baking of the photoresist masking layer). Nevertheless, these factors must be correlated with a correct selection of the glass material (low content of oxides that gives insoluble products after the reaction with the etching solution) and a fast etch rate (achieved using highly concentrated hydrofluoric acid). The best reported results in the literature are analyzed for this perspective.

Journal ArticleDOI
TL;DR: In this paper, a modification of the two-step time-multiplexed plasma etching recipe (also known as the Bosch process) was proposed to achieve high aspect-ratio sub-micron wide trenches in silicon.
Abstract: This paper reports on a practical modification of the two-step time-multiplexed plasma etching recipe (also known as the Bosch process) to achieve high aspect-ratio sub-micron wide trenches in silicon. Mixed argon and oxygen plasma depassivation steps are introduced in between the passivation and etching phases to promote the anisotropic removal of the passivation layer at the base of the trench. Argon does not chemically react with polymers and silicon and removes the passivation layer only by physical sputtering. Therefore, it results in a highly anisotropic polymer etching process. This recipe can be easily integrated on conventional ICP equipment and the scalloping on the trench sidewall can potentially be reduced in size to less than 50 nm. To clean up all the passivation residues, a short oxygen plasma step is also added at the end of the cycle that effectively improves the uniformity of the etching profile over various opening sizes. Excellent anisotropy of the inserted argon depassivation step facilitates narrow trenches down to 130 nm wide and gap aspect-ratios as high as 40:1, extending the application of deep reactive ion etching (DRIE) processes into a new broad regime.

Patent
13 Jun 2008
TL;DR: In this article, a high-dielectric-constant gate insulating film and a hard mask formed thereon are subjected to etching processing using a plasma of a processing gas containing a noble gas and a reducing gas.
Abstract: A plasma processing method, which enables the etching controllability for a high-dielectric-constant insulating film to be improved. A substrate having a high-dielectric-constant gate insulating film and a hard mask formed thereon is subjected to etching processing using a plasma of a processing gas containing a noble gas and a reducing gas.

Patent
02 Jul 2008
TL;DR: In this paper, the authors proposed a method for selectively etching a Si-Ge mixed semiconductor layer of a silicon semiconductor substrate by dry chemical etching of the Si-G-Mixed Semiconductor layer, by means of an etching gas selected from the group comprising ClF3and/or ClF5.
Abstract: The invention relates to a method for selectively etching a Si-Ge mixed semiconductor layer of a silicon semiconductor substrate by dry chemical etching of the Si-Ge mixed semiconductor layer, by means of an etching gas selected from the group comprising ClF3and/or ClF5, wherein the etching gas is alkalized with a gas from the group Cl2and/or HCl

Journal ArticleDOI
TL;DR: In this paper, a vertical light-emitting diodes (LEDs) were successfully fabricated by a chemical lift-off process using a selectively etchable CrN buffer layer.
Abstract: Vertical light-emitting diodes (LEDs) were successfully fabricated by a chemical lift-off process using a selectively etchable CrN buffer layer. The novel CrN metallic layer worked well as a buffer layer for growth of the GaN LED and was etched out clearly during selective chemical etching. The vertical LED by chemical lift-off showed very good current-voltage performance with low series resistance of 0.65 Omega and low operated voltage of 3.11 V at 350 mA. Also, this device could be operated at a much higher injection forward current (1118 mA at 3.70 V) by thermally conductive metal substrate which enabled the high current operation with excellent heat dissipation.

Journal ArticleDOI
TL;DR: In this article, surface modifications of copoly(4,4‘-diphenylene oxide/1,5-naphthalene-2,2‘)-bis(3,4-dicarboxylphenyl)hexafluoropropanediimide (6FDA−ODA/NDA) dense membranes were performed using ethylenediamine (EDA), 1,3-diaminopropane (PDA), and 1, 4-Diaminobutane (BuDA).
Abstract: Surface modifications of copoly(4,4‘-diphenylene oxide/1,5-naphthalene-2,2‘-bis(3,4-dicarboxylphenyl)hexafluoropropanediimide (6FDA−ODA/NDA) dense membranes were performed using ethylenediamine (EDA), 1,3-diaminopropane (PDA), and 1,4-diaminobutane (BuDA). Chemical grafting, cross-linking, and etching on the film surface have been verified by ATR−FTIR, UV−vis, AFM, and gel content analyses. The varying extent of the above-mentioned types of surface modification is dependent on the nucleophilicity and molecular dimensions of the diamines, which were computed using molecular simulation. Highly severe chemical etching occurred with EDA modification due to its small molecular dimensions and high nucelophilicity. The greatest degree of cross-linking was provided by PDA modification due to its favorable kinetic property and appropriate nucleophilicity. The ideal H2/CO2 permselectivity escalated from a polymer intrinsic permselectivity of 2.3 to a remarkable value of 64 after PDA modification for 90 min. This pr...

Journal ArticleDOI
TL;DR: In this paper, the authors describe an electrostatically actuated silicon nanotweezers which are intended for the manipulation and characterization of filamentary molecules, and demonstrate their performance in static and dynamic manipulation on DNA molecules.
Abstract: We describe electrostatically actuated silicon nanotweezers which are intended for the manipulation and characterization of filamentary molecules. The microelectromechanical system consists of a pair of opposing tips whose distance can be accurately adjusted by means of an integrated differential capacitive sensor. The fabrication process is based on silicon-on-insulator technology and combines KOH wet anisotropic etching and deep reactive ion etching of silicon to form sharp nanotips and high aspect ratio microstructures, respectively. In the designed prototype, the initial gap between the tips was around 20 mum. The device showed a maximum displacement of about 2.5 mum, and we could achieve a resolution better than 0.2 nm (in static mode). We measured a resonant frequency of 2.5 kHz and a quality factor (Q factor) of 50 in air. The instrument was used to perform static and dynamic mechanical manipulations on DNA molecules, and we could distinctly observe the viscoelastic behavior of DNA bundles from these experiments.

Journal ArticleDOI
TL;DR: Micro- and nanofabrication of cavities in the volume of sapphire was performed by femtosecond laser irradiation followed by chemical etching with aqueous solution of HF acid to produce self-organized nanostructures or elliptical microchannels.
Abstract: The fabrication of microchannels and self-assembled nanostructures in the volume of sapphire was performed by femtosecond laser irradiation followed by chemical etching with aqueous solution of HF acid. Depending on the focusing conditions self-organized nanostructures or elliptical microchannels are produced. While the dimensions in two directions are on a micro- respectively nanoscale, feature lengths of up to 1 mm are achieved. This comes out to aspect ratios of more than 1000. This fabrication technique is potentially usable for photonic crystal based integrated optical elements or microfluidic devices for applications in life science, biology or chemistry.

Journal ArticleDOI
TL;DR: In this article, a synthetic super-hydrophobic films having similar feature have been fabricated for specific functions as water-repellence, self-cleaning and anti-fouling.
Abstract: Surface having superhydrophobic and self-cleaning property is generally found in nature such as lotus leaf and butterfly's wing. Such surfaces consist of protrusions in micrometer scale covered with waxy nanoparticles, giving the surface self-cleaning property as water droplets roll off the rough surface and pick up dirt and fine debris with them. Synthetic superhydrophobic films having similar feature have been fabricated for specific functions as water-repellence, self-cleaning and anti-fouling. This present work attempts to mimic such natural surface feature by controlling surface roughness of the underlying organic layer simply by chemical etching, followed by deposition of oxide nanoparticles and finally thin layer of organic molecules to further lower surface energy. The fabricated films on glass substrate exhibited water contact angle higher than 150°. Results of surface analysis by using an atomic force microscopy as well as results of self-cleaning and anti-adhesion are presented.

03 Apr 2008
TL;DR: In this article, the effects of HF/NH, wet chemical etching on the morphology of individual surface fractures and of an ensemble of surface fractures (ground surfaces) on fused silica glass has been characterized.
Abstract: The effects of HF/NH{sub 4}F, wet chemical etching on the morphology of individual surface fractures (indentations, scratches) and of an ensemble of surface fractures (ground surfaces) on fused silica glass has been characterized. For the individual surface fractures, a series of static or dynamic (sliding) Vickers and Brinnell indenters were used to create radial, lateral, Hertzian cone and trailing indentation fractures on a set of polished fused silica substrates which were subsequently etched. After short etch times, the visibility of both surface and subsurface cracks is significantly enhanced when observed by optical microscopy. This is attributed to the removal of the polishing-induced Bielby layer and the increased width of the cracks following etching allowing for greater optical scatter at the fracture interface. The removal of material during etching was found to be isotropic except in areas where the etchant has difficulty penetrating or in areas that exhibit significant plastic deformation/densification. Isolated fractures continue to etch, but will never be completely removed since the bottom and top of the crack both etch at the same rate. The etching behavior of ensembles of closely spaced cracks, such as those produced during grinding, has also been characterized. This was done using a secondmore » set of fused silica samples that were ground using either fixed or loose abrasives. The resulting samples were etched and both the etch rate and the morphology of the surfaces were monitored as a function of time. Etching results in the formation of a series of open cracks or cusps, each corresponding to the individual fractures originally on the surface of the substrate. During extended etching, the individual cusps coalesce with one another, providing a means of reducing the depth of subsurface damage and the peak-to-valley roughness. In addition, the material removal rate of the ground surfaces was found to scale with the surface area of the cracks as a function of etch time. The initial removal rate for the ground surface was typically 3.5 x the bulk etch rate. The evolving morphology of ground surfaces during etching was simulated using an isotropic finite difference model. This model illustrates the importance that the initial distributions of fracture sizes and spatial locations have on the evolution of roughness and the rate at which material is removed during the etching process. The etching of ground surfaces can be used during optical fabrication to convert subsurface damage into surface roughness thereby reducing the time required to produce polished surfaces that are free of subsurface damage.« less

Journal ArticleDOI
TL;DR: In this article, bias-assisted reactive ion etching in a hydrogen/argon plasma was employed as etching masks to obtain high-density, uniform diamond nanopillar arrays with high aspect ratio and large surface area.
Abstract: High-density, uniform diamond nanopillar arrays were fabricated by employing bias-assisted reactive ion etching in a hydrogen/argon plasma. Gold nanodots were employed as etching masks. The formation of nanopillar structure is associated with the directional physical etching/sputtering by ion bombardment and selective chemical etching of sp2 carbons by reactive hydrogen atoms and ions. The density and geometry of the nanopillars depend on the initial structure of diamond films and reactive ion etching conditions. The nanopillars with high aspect ratio and large surface area may have potential applications in high-efficiency and high-sensitivity diamond-based biomedical and chemical sensors and in mechanical and thermal management.

Journal ArticleDOI
TL;DR: In this paper, a surface roughening method, a combination of mechanical and chemical etching, was developed for the fabrication of stable super-hydrophobic surfaces on aluminum alloy foils.

Journal ArticleDOI
TL;DR: In this paper, the surface preparation of Au contacts on surfaces of CZT detectors is typically conducted after polishing to remove artifacts from crystal sectioning and chemical etching, which removes residual mechanical surface damage however etching results in a Te rich surface layer that is prone to oxidize.

Journal ArticleDOI
Orhan Çakır1
TL;DR: In this paper, the effects of selected chemical etching parameters on depth of etch and surface finish quality were investigated and it was observed that FeCl3 was very useful chemical etch for aluminium etching.

Patent
01 Dec 2008
TL;DR: A III-nitride light emitting diode (LED) and method of fabricating the same, wherein at least one surface of a semipolar or non-polar plane of a 3-nodes layer of the LED is textured, thereby forming a textured surface in order to increase light extraction is discussed in this paper.
Abstract: A III-nitride light emitting diode (LED) and method of fabricating the same, wherein at least one surface of a semipolar or nonpolar plane of a III-nitride layer of the LED is textured, thereby forming a textured surface in order to increase light extraction. The texturing may be performed by plasma assisted chemical etching, photolithography followed by etching, or nano-imprinting followed by etching.

Journal ArticleDOI
TL;DR: In this paper, inverted hexagonal cone shaped air voids with {10−11} GaN crystal planes were formed between a patterned sapphire substrate and GaN epitaxial layer using a H3PO4-based hot chemical etching method.
Abstract: To improve the light extraction efficiency of InGaN-light emitting diode (LED), inverted hexagonal cone shaped air voids with {10–11} GaN crystal planes were formed between a patterned sapphire substrate and GaN epitaxial layer using a H3PO4-based hot chemical etching method. The air-voids embedded LED showed 12% and 210% higher optical power than a patterned substrate LED and a flat substrate LED, respectively. A ray tracing simulation revealed that the light extraction through the top face of the air-voids embedded LED was dramatically increased due to a strong light reflection and redirection by the air voids.

Journal ArticleDOI
TL;DR: Subwavelength structure (SWS) was formed by simple wet chemical etching using catalysis of gold (Au) nanoparticle in this paper, where single nano-sized Au particle dispersion solution was coated onto silicon (Si) substrate with polished surface.

Journal ArticleDOI
TL;DR: In this article, the authors reproducibly obtained an atomically well-defined SrTiO3 (111) surface by a combined chemical etching and thermal annealing process.
Abstract: The authors have reproducibly obtained an atomically well-defined SrTiO3 (111) surface by a combined chemical etching and thermal annealing process. Although thermodynamic mixed termination is preferred as a means of suppressing the surface dipole, the kinetics-driven etching process, via selective etching of SrO34−, enables a single-terminated surface to be obtained. Subsequent O2 annealing of the etched surface produces a clear step-and-terrace structure. Atomically flat terraces and only one-unit-cell-high step edges are observed, signifying a single-terminated surface. This study might pave the way for constructing (111)-oriented perovskite oxide superlattices, which would be expected to demonstrate new and better physical phenomena and functionalities.

Journal ArticleDOI
TL;DR: In this article, the etch behavior of β-Ga2O3 single crystal was investigated to evaluate its chemical stability and to explore etchants for β-ga2O 3 single crystal, which were grown by the floating zone method, and (100 and (001)-oriented samples were chemical-mechanical-polished to wafers.
Abstract: Wet chemical etching behavior of β-Ga2O3 single crystal was investigated to evaluate its chemical stability and to explore etchants for β-Ga2O3 single crystal. Undoped and Sn-doped β-Ga2O3 single crystals were grown by the floating zone method, and (100)- and (001)-oriented samples were chemical-mechanical-polished to wafers. The samples were wet chemically etched in solutions such as HCl, H2SO4, HNO3, HF, H2O2:H2SO4:H2O=1:4:1, KOH, and NaOH. The samples were chemically stable against both acids and alkalis except HF and NaOH. Aqueous HF solution was found to etch β-Ga2O3 uniformly at room temperature. The etch rate increased with increasing immersion time and HF content. Anisotropy of etch rate was observed between the (100) and (001) planes. The etch rate of Sn-doped β-Ga2O3 was lower than that of undoped β-Ga2O3, and the etch rate decreased with increasing Sn doping content. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)

Journal ArticleDOI
TL;DR: In this article, a technique for selective wet chemical etching of an AlInN sacrificial layer lattice-matched to GaN for the fabrication of air-gap photonic structures is presented.
Abstract: The authors report a technique for selective wet chemical etching of an AlInN sacrificial layer lattice-matched to GaN for the fabrication of air-gap photonic structures. It is used to demonstrate high quality factor (Q) microdisk cavities. Whispering gallery modes are observed in the photoluminescence spectra of InGaN∕GaN quantum wells (QWs) embedded in the GaN microdisks. Q factors of up to 3500 are obtained. The measured Qs are found to be limited by the QW absorption. Room temperature laser action is achieved for a wide spectral range (409–475nm) with a threshold down to 166kW∕cm2.