scispace - formally typeset
Search or ask a question

Showing papers on "Isotropic etching published in 2011"


Journal ArticleDOI
TL;DR: This article presents an overview of the essential aspects in the fabrication of silicon and some silicon/germanium nanostructures by metal-assisted chemical etching, and introduces templates based on nanosphere lithography, anodic aluminum oxide masks, interference lithographic, and block-copolymer masks.
Abstract: This article presents an overview of the essential aspects in the fabrication of silicon and some silicon/germanium nanostructures by metal-assisted chemical etching. First, the basic process and mechanism of metal-assisted chemical etching is introduced. Then, the various influences of the noble metal, the etchant, temperature, illumination, and intrinsic properties of the silicon substrate (e.g., orientation, doping type, doping level) are presented. The anisotropic and the isotropic etching behaviors of silicon under various conditions are presented. Template-based metal-assisted chemical etching methods are introduced, including templates based on nanosphere lithography, anodic aluminum oxide masks, interference lithography, and block-copolymer masks. The metal-assisted chemical etching of other semiconductors is also introduced. A brief introduction to the application of Si nanostructures obtained by metal-assisted chemical etching is given, demonstrating the promising potential applications of metal-assisted chemical etching. Finally, some open questions in the understanding of metal-assisted chemical etching are compiled.

1,689 citations


Journal ArticleDOI
04 Nov 2011-ACS Nano
TL;DR: This work demonstrates a highly efficient, nondestructive electrochemical route for the delamination of CVD graphene film from metal surfaces, which affords the advantages of high efficiency, low-cost recyclability, and minimal use of etching chemicals.
Abstract: The separation of chemical vapor deposited (CVD) graphene from the metallic catalyst it is grown on, followed by a subsequent transfer to a dielectric substrate, is currently the adopted method for device fabrication. Most transfer techniques use a chemical etching method to dissolve the metal catalysts, thus imposing high material cost in large-scale fabrication. Here, we demonstrate a highly efficient, nondestructive electrochemical route for the delamination of CVD graphene film from metal surfaces. The electrochemically delaminated graphene films are continuous over 95% of the surface and exhibit increasingly better electronic quality after several growth cycles on the reused copper catalyst, due to the suppression of quasi-periodical nanoripples induced by copper step edges. The electrochemical delamination process affords the advantages of high efficiency, low-cost recyclability, and minimal use of etching chemicals.

569 citations


Patent
Harmeet Singh1
20 Jul 2011
TL;DR: In this paper, the first gas is substantially replaced in the chamber with an inert gas, and metastables are then generated from the inert gas to etch the layer with the metastables while substantially preventing the plasma charged species from etching the layer.
Abstract: Substrate processing systems and methods for etching an atomic layer are disclosed. The methods and systems are configured to introducing a first gas into the chamber, the gas being an etchant gas suitable for etching the layer and allowing the first gas to be present in the chamber for a period of time sufficient to cause adsorption of at least some of the first gas into the layer. The first gas is substantially replaced in the chamber with an inert gas, and metastables are then generated from the inert gas to etch the layer with the metastables while substantially preventing the plasma charged species from etching the layer.

228 citations


Journal ArticleDOI
TL;DR: In this paper, the authors employed commercially available low-cost bulk silicon powder to produce multi-dimensional silicon composed of porous nanowires and micro-sized cores, which can be used as anode materials in lithium-ion batteries, by combining a metal deposition and metal assisted chemical etching process.
Abstract: Specific design and optimization of the configuration of micro-scale materials can effectively enhance battery performance, including volumetric density. Herein, we employed commercially available low-cost bulk silicon powder to produce multi-dimensional silicon composed of porous nanowires and micro-sized cores, which can be used as anode materials in lithium-ion batteries, by combining a metal deposition and metal-assisted chemical etching process. Nanoporous silicon nanowires of 5–8 μm in length and with a pore size of ∼10 nm are formed in the bulk silicon particle. The silicon electrodes having multi-dimensional structures accommodate large volume changes of silicon during lithium insertion and extraction. These materials show a high reversible charge capacity of ∼2400 mAh g−1 with an initial coulombic efficiency of 91% and stable cycle performance. The synthetic route described herein is simple, low-cost, and mass producible (high yield of 40–50% in tens of gram scale), and thus, provides an effective method for producing high-performance anode materials.

194 citations


Journal ArticleDOI
TL;DR: In this paper, the effects of different film deposition temperatures, thermal annealing and chemical etching were studied, and the relationship between changes in electrode polarization resistance of oxygen reduction and surface composition was found.
Abstract: Pulsed laser deposited La 0.6 Sr 0.4 CoO 3―δ (LSC) thin film electrodes on yttria stabilized zirconia (YSZ) single crystals were investigated by impedance spectroscopy, time of flight secondary ion mass spectrometry (ToF-SIMS) and inductively coupled plasma optical emission spectrometry (ICP-OES). Effects caused by different film deposition temperatures, thermal annealing and chemical etching were studied. Correlations between changes in electrode polarization resistance of oxygen reduction and surface composition were found. At high deposition temperatures and after thermal annealing an inhomogeneous cation distribution was detected in the surface-near region, most manifest in a significant Sr enrichment at the surface. An activating effect of chemical etching of LSC is described, which can lower the polarization resistance by orders of magnitude. Chemistry behind this activation and thermal degradation was analyzed by ToF-SIMS and ICP-OES measurements of in-situ etched LSC films. The latter allow quantitative depth resolved compositional analysis with nominally sub nm resolution. High resolution scanning electron microscopy images illustrate the accompanying changes in surface morphology. All measurements suggest that stoichiometric LSC surfaces intrinsically exhibit very high activity towards oxygen reduction.

189 citations


Patent
07 Apr 2011
TL;DR: In this paper, a method for manufacturing a high-performance bipolar transistor in which emitter size dependence of the transistor characteristics is reduced was proposed, in which an opening on an N epitaxial layer was provided, and a polysilicon containing boron and in contact with the N epitaxis layer around the opening, a silicon oxide film with a thickness about 60% with respect to that of the BSG film was formed.
Abstract: PROBLEM TO BE SOLVED: To provide a method for manufacturing a high-performance bipolar transistor in which emitter size dependence of the transistor characteristics is reduced SOLUTION: A silicon oxide film 102 provided with an opening on an N epitaxial layer 101, a polysilicon 103 containing boron and in contact with the N epitaxial layer around the opening, a silicon nitride film 104, a silicon oxide film 105 and a silicon nitride film 106 are formed Next, a base region 108 is formed by depositing and heat-treating a BSG film 107, the BSG film 107 is exposed by depositing and etching back a silicon nitride film 109 with anisotropic etching, and a P-base region is exposed by etching back with anisotropic etching Next, a silicon oxide film with a thickness about 60% with respect to that of the BSG film 107 is formed, an undercut under a lower portion of the silicon nitride film is etched back by embedded isotropic etching, the film thickness of arsenic-doped polysilicon is uniformized by decreasing the undercut, and impurity concentration and depth of an emitter region are uniformized

172 citations


Journal ArticleDOI
TL;DR: The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy.
Abstract: Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and renu...

168 citations


Journal ArticleDOI
16 Mar 2011-ACS Nano
TL;DR: It is demonstrated that SiNWs with different morphologies and axial orientations can be prepared from silicon wafers of a given orientation by controlling the etching conditions, and a phenomenological model is explored that explains the evolution of the morphology andAxial crystal orientation of Si NWs within the framework of the reaction kinetics.
Abstract: Au/Ag bilayered metal mesh with arrays of nanoholes were devised as a catalyst for metal-assisted chemical etching of silicon. The present metal catalyst allows us not only to overcome drawbacks involved in conventional Ag-based etching processes, but also to fabricate extended arrays of silicon nanowires (SiNWs) with controlled dimension and density. We demonstrate that SiNWs with different morphologies and axial orientations can be prepared from silicon wafers of a given orientation by controlling the etching conditions. We explored a phenomenological model that explains the evolution of the morphology and axial crystal orientation of SiNWs within the framework of the reaction kinetics.

166 citations


Journal ArticleDOI
TL;DR: Suppression of Fresnel refl ection over a broad spectral range can be achieved by using nanotextured surfaces that form a graded transition of the refractive index from air to the substrate.
Abstract: Constantly increasing demand of renewable and nonpolluting energy production methods has made solar cells one of today’s hottest research areas. Developing more cost-effective fabrication methods that enable production of extremely non-refl ecting surfaces is one of the key issues in solar cell research. [ 1 , 2 ] Many other applications, such as miniaturized chemical analysis systems, would also benefi t greatly from low-cost surfaces with low and uniform refl ectivity. [ 3 ] Typically, suppression of Fresnel refl ection has been achieved by antirefl ective coatings, but they suppress refl ection effi ciently only in a narrow wavelength range. Suppression of refl ection over a broad spectral range can be achieved by using nanotextured surfaces that form a graded transition of the refractive index from air to the substrate. [ 1 , 2 , 4–12 ]

162 citations


Book
13 Jun 2011
TL;DR: In this article, the authors present a pattern transfer with additive and subtractive techniques for thin film properties and surface micromachining, as well as thermal energy-based removal.
Abstract: Lithography: Photolithography. Next-Generation Lithographies and Lithography Research. Pattern Transfer with Subtractive Techniques: Dry Etching. Wet Chemical Etching and Wet Bulk Micromachining-Pools as Tools. Thermal Energy-Based Removing. Mechanical Energy-Based Removing. Pattern Transfer with Additive Techniques: Physical and Chemical Vapor Deposition-Thin Film Properties and Surface Micromachining. Chemical, Photochemical and Electrochemical Forming Techniques. Thermal Energy-Based Forming Techniques-Thermoforming. Micro-Molding Techniques-LIGA.

159 citations


Patent
27 Oct 2011
TL;DR: In this article, a method for etching an oxide layer disposed on a substrate through a patterned layer defining one or more features to be etched into the oxide layer is described.
Abstract: Methods for etching an oxide layer disposed on a substrate through a patterned layer defining one or more features to be etched into the oxide layer are provided herein. In some embodiments, a method for etching an oxide layer disposed on a substrate through a patterned layer defining one or more features to be etched into the oxide layer may include: etching the oxide layer through the patterned layer using a process gas comprising a polymer forming gas and an oxygen containing gas to form the one or more features in the oxide layer; and pulsing at least one of the polymer forming gas or the oxygen containing gas for at least a portion of etching the oxide layer to control a dimension of the one or more features.

Journal ArticleDOI
TL;DR: The realization of high aspect ratio III-V nanostructure arrays by wet etching can potentially transform the fabrication of a variety of optoelectronic device structures including distributed Bragg reflector and distributed feedback semiconductor lasers, where the surface grating is currently fabricated by dry etching.
Abstract: Periodic high aspect ratio GaAs nanopillars with widths in the range of 500–1000 nm are produced by metal-assisted chemical etching (MacEtch) using n-type (100) GaAs substrates and Au catalyst films patterned with soft lithography. Depending on the etchant concentration and etching temperature, GaAs nanowires with either vertical or undulating sidewalls are formed with an etch rate of 1–2 μm/min. The realization of high aspect ratio III–V nanostructure arrays by wet etching can potentially transform the fabrication of a variety of optoelectronic device structures including distributed Bragg reflector (DBR) and distributed feedback (DFB) semiconductor lasers, where the surface grating is currently fabricated by dry etching.

Journal ArticleDOI
TL;DR: In this paper, the surface termination of atomically flat SrTiO3 surfaces treated by chemical etching and subsequent thermal annealing was studied for all commercially available orientations (001, (110), and (111).
Abstract: We have studied the surface termination of atomically flat SrTiO3 surfaces treated by chemical etching and subsequent thermal annealing, for all commercially available orientations (001), (110), and (111). Atomic force microscopy confirms that our treatment processes produce unit cell steps with flat terrace structures. We have also determined the topmost atomic layer of SrTiO3 surfaces through time-of-flight mass spectroscopy. We found that all three orientations exhibit a Ti-rich surface. Our observation opens doors for interface engineering along the [110] and [111] directions in addition to a well known [100] case, which widens the range of functional heterostructures and interfaces.

Journal ArticleDOI
13 May 2011-ACS Nano
TL;DR: A model that explains the formation of the present novel silicon nanostructures during chemical etching of silicon is proposed and provides a unique opportunity for the fabrication of extended arrays of zigzag SiNWs, ultrathin straight [111] Si NWs, and curvedSiNWs with controlled turning angles.
Abstract: A generic process for the preparation of curved silicon nanowires (SiNWs) with ribbon-like cross sections was developed. The present synthetic approach is based on chemical etching of (100)-oriented silicon wafers in mixture solutions of HF and H2O2 by using patterned thin gold films as catalyst and provides a unique opportunity for the fabrication of extended arrays of zigzag SiNWs, ultrathin straight [111] SiNWs, and curved SiNWs with controlled turning angles. On the basis of our experiments performed under various etching conditions, the factors governing the axial crystal orientation and morphology of SiNWs were systematically analyzed. We proposed a model that explains the formation of the present novel silicon nanostructures during chemical etching of silicon.

Journal ArticleDOI
TL;DR: In this article, a novel approach to fabricate periodic one-dimensional (1D) nanostructured arrays is developed using monolayer colloidal crystals as templates or masks.

Journal ArticleDOI
TL;DR: In this paper, a fiber-tip micro-cavity pressure sensor was fabricated by use of a fusion splicer and a pressurizing gas chamber, which demonstrated a pressure sensitivity of ~ 315 pm/MPa.
Abstract: A novel fiber-tip micro-cavity pressure sensor was fabricated by use of a fusion splicer and a pressurizing gas chamber. The fabrication process is simple and efficient without the need for careful cleaving, chemical etching, and bonding. Micro-cavities with wall thickness of a few micrometers demonstrated a pressure sensitivity of ~ 315 pm/MPa . The sensors have compact size, good mechanic strength, and high temperature stability up to 600°C, and may be potentially used for pressure sensing in a high-temperature environment.

Journal ArticleDOI
TL;DR: In this paper, a superhydrophobic Cu surface with tunable regular microstructure and random nanostructures was fabricated by nanosecond pulsed laser texturing and chemical etching.

Journal ArticleDOI
TL;DR: It is reported that one-step MACE (in HF and AgNO3) is also capable of producing the n-type mp-SiNWs, and the developed formula is generally adapted to generate SiNWs by etching n-Si(100) with electrical resistivity over a range of 10(-3)-10(1) Ω·cm.
Abstract: In general, n-type mesoporous silicon nanowires (mp-SiNWs) are exclusively created by the two-step metal-assisted chemical etching (MACE). This work first reports that one-step MACE (in HF and AgNO3) is also capable of producing the n-type mp-SiNWs, and the developed formula is generally adapted to generate SiNWs by etching n-Si(100) with electrical resistivity over a range of 10–3–101 Ω·cm. Integrating the contribution of silicon intrinsic properties in the existing MACE mechanism explicitly accounts for the new findings and contradictions with previous studies. The as-generated mesoporous structures emit red light under laser excitation at room temperature. The red-color emission sensitively varies with temperature over a range of 16–300 K, attributed to a temperature-dependent photoluminescent mechanism.

Journal ArticleDOI
28 Feb 2011-Langmuir
TL;DR: The demonstration of hybrid superhydrophobic surfaces with spatially selective, tunable adhesion behavior on single substrates paves the way for future applications in microfluidic channels, substrates for biologically and chemically based analysis and detection where it is necessary to analyze a particular droplet in a defined location on a surface, and as a platform to study in situ chemical mixing and interfacial reactions of liquid pearls.
Abstract: We describe a new method of fabricating large-area, highly scalable, "hybrid" superhydrophobic surfaces on silicon (Si) substrates with tunable, spatially selective adhesion behavior by controlling the morphologies of Si nanowire arrays. Gold (Au) nanoparticles were deposited on Si by glancing-angle deposition, followed by metal-assisted chemical etching of Si to form Si nanowire arrays. These surfaces were chemically modified and rendered hydrophobic by fluorosilane deposition. Au nanoparticles with different size distributions resulted in the synthesis of Si nanowires with very different morphologies (i.e., clumped and straight nanowire surfaces). The difference in nanowire morphology is attributed to capillary force-induced nanocohesion, which is due to the difference in nanowire porosity. The clumped nanowire surface demonstrated the lotus effect, and the straighter nanowires demonstrated the ability to pin water droplets while maintaining large contact angles (i.e., the petal effect). The high contact angles in both cases are explained by invoking the Cassie-Baxter wetting state. The high adhesion behavior of the straight nanowire surface may be explained by a combination of attractive van der Waals forces and capillary adhesion. We demonstrate the spatial patterning of both low- and high-adhesion superhydrophobicity on the same substrate by the simultaneous synthesis of clumped and straight silicon nanowires. The demonstration of hybrid superhydrophobic surfaces with spatially selective, tunable adhesion behavior on single substrates paves the way for future applications in microfluidic channels, substrates for biologically and chemically based analysis and detection where it is necessary to analyze a particular droplet in a defined location on a surface, and as a platform to study in situ chemical mixing and interfacial reactions of liquid pearls.


Journal ArticleDOI
TL;DR: From the investigation of major parameters affecting the etching such as doping type, doping concentration of the substrate, the formation of new Si architectures consisting of organized Si NW arrays formed on a micro/mesoporous silicon layer with different thickness is demonstrated.
Abstract: Silicon nanowires (SiNWs) were produced by nanosphere lithography and metal assisted chemical etching. The combination of these methods allows the morphology and organization control of Si NWs on a large area. From the investigation of major parameters affecting the etching such as doping type, doping concentration of the substrate, we demonstrate the formation of new Si architectures consisting of organized Si NW arrays formed on a micro/mesoporous silicon layer with different thickness. These investigations will allow us to better understand the mechanism of Si etching to enable a wide range of applications such as molecular sensing, and for thermoelectric and photovoltaic devices.

Journal ArticleDOI
TL;DR: In this paper, the surface treatment of texturization with wet etching using appropriate solutions can improve incident light into the cell, which can improve the overall efficiency of multi-crystalline silicon (mc-Si) solar cells.

Journal ArticleDOI
TL;DR: The present chemical etching strategy is versatile and can be extended to different-sized nanorod precursors and nanotube products, which can also be used as template for the fabrication of 1 D nanostructured niobates, such as LiNbO3, NaNb O3, and KNbO 3.
Abstract: Single-crystalline nanoporous Nb2O5 nanotubes were fabricated by a two-step solution route, the growth of uniform single-crystalline Nb2O5 nanorods and the following ion-assisted selective dissolution along the [001] direction. Nb2O5 tubular structure was created by preferentially etching (001) crystallographic planes, which has a nearly homogeneous diameter and length. Dense nanopores with the diameters of several nanometers were created on the shell of Nb2O5 tubular structures, which can also retain the crystallographic orientation of Nb2O5 precursor nanorods. The present chemical etching strategy is versatile and can be extended to different-sized nanorod precursors. Furthermore, these as-obtained nanorod precursors and nanotube products can also be used as template for the fabrication of 1 D nanostructured niobates, such as LiNbO3, NaNbO3, and KNbO3.

Journal ArticleDOI
TL;DR: In this article, the relative stability of different crystal planes to chemical etching through careful face-selective etching was examined using Ag2O nanocubes, rhombicuboctahedras, octahedra and extended hexapods.
Abstract: Ag2O nanocubes, rhombicuboctahedra, octahedra, and extended hexapods were employed for the examination of the relative stability of different crystal planes to chemical etching through careful face-selective etching. Precise control of the amount of NH3 solution injected into a mixture of Ag2O nanocrystals and NaOH enables this face-selective etching. Ag(NH3)2+ formed from dissolved silver ions should drive the etching process while NaOH tunes the reaction equilibrium to control morphology of the etched nanocrystals. The order of facet stability in this reaction was found to be {111} > {110} > {100}. The {100} faces are most easily etched. By carefully adjusting the volume of NH3 solution introduced, novel Ag2O cubic nanoframes and rhombicuboctahedra with square depressions on all the {100} faces can be fabricated. The {111} facets contain significant terminal silver atoms, so hydroxide ions should interact strongly to maintain these surfaces. Hydroxide ions are less effective at adsorbing on the {100} fa...

Journal ArticleDOI
18 Jan 2011-Langmuir
TL;DR: A simple method for the fabrication of rough silicon surfaces with micro- and nanostructures, which exhibited superhydrophobic behaviors with extremely high contact angle, is demonstrated.
Abstract: We demonstrate a simple method for the fabrication of rough silicon surfaces with micro- and nanostructures, which exhibited superhydrophobic behaviors. Hierarchically rough silicon surfaces were prepared by copper (Cu)-assisted chemical etching process where Cu nanoparticles having particle size of 10−30 nm were deposited on silicon surface, depending on the period of time of electroless Cu plating. Surface roughness was controlled by both the size of Cu nanoparticles and etching conditions. As-synthesized rough silicon surfaces showed water contact angles ranging from 93° to 149°. Moreover, the hierarchically rough silicon surfaces were chemically modified by spin-coating of a thin layer of Teflon precursor with low surface energy. And thus it exhibited nonsticky and enhanced hydrophobic properties with extremely high contact angle of nearly 180°.

Journal ArticleDOI
TL;DR: A new methodology for controllable folding of 2D metal catalyst films into 3D structures using MaCE takes advantage of selective patterning of the catalyst layer into regions with mismatched characteristic dimensions, resulting in uneven etching rates along the notched boundary lines that produce hinged 2D templates for 3D folding.
Abstract: In recent years metal-assisted chemical etching (MaCE) of silicon, in which etching is confined to a small region surrounding metal catalyst templates, has emerged as a promising low cost alternative to commonly used three-dimensional (3D) fabrication techniques. We report a new methodology for controllable folding of 2D metal catalyst films into 3D structures using MaCE. This method takes advantage of selective patterning of the catalyst layer into regions with mismatched characteristic dimensions, resulting in uneven etching rates along the notched boundary lines that produce hinged 2D templates for 3D folding. We explore the dynamics of the folding process of the hinged templates, demonstrating that the folding action combines rotational and translational motion of the catalyst template, which yields topologically complex 3D nanostructures with intimately integrated metal and silicon features.

Journal ArticleDOI
TL;DR: In this paper, a basic study of microwave plasma in liquid at atmospheric pressure was conducted, where pointed and ring tungsten electrodes were used to generate metallic silver nanoparticles using this plasma source without any addition of reducing chemical reagents.
Abstract: We have conducted a basic study of microwave plasma in liquid at atmospheric pressure. Plasma could be successfully induced by radiated microwave from pointed and ring tungsten electrodes. The tungsten electrode showed a long lifetime of 70 h. Melting and chemical etching of the electrode's top limited the lifetime. Silver cations from silver nitrates could be rapidly and completely reduced to generate metallic silver nanoparticles using this plasma source without any addition of reducing chemical reagents. Optimization of electric conductivity of the reaction solution was not needed. When the material of the electrode was changed to platinum from tungsten, platinum nanoparticles were produced by vaporization into pure water. Both these preparation processes can be expected to produce lower contamination than chemical synthesis.

Patent
10 Mar 2011
TL;DR: In this article, a method for etching a silicon-containing film, wherein lifting or separation of an organic film is prevented, is described, where an etching material gas that does not substantially contain hydrogen atoms is introduced into a plasma space that is at near atmospheric pressure.
Abstract: Disclosed is a method for etching a silicon-containing film, wherein lifting or separation of an organic film is prevented. Specifically, an etching material gas that does not substantially contain hydrogen atoms is introduced into a plasma space (23) that is at near atmospheric pressure, thereby producing an etching gas. The etching gas is brought into contact with an object to be processed (90) that contains a silicon-containing film (92) and an organic film (93). The silicon-containing film (92) can be oxidized with nitrogen oxide (NOx). The etching material gas contains 7-80% by volume of a fluorine-based material that does not contain hydrogen atoms, 7-80% by volume of nitrogen (N2) and 5-60% by volume of oxygen (O2).

Journal ArticleDOI
TL;DR: In this article, the dissolution kinetics rate of CIGSe using trace analysis by graphite furnace atomic absorption spectrometry of Ga and Cu was determined using X-ray photoelectron spectroscopy analyses.

Journal ArticleDOI
TL;DR: In this article, a method to fabricate 3D, heterogeneous sub-micro- and nanostructures in silicon with high feature fidelity on the order of tens of nanometers was proposed.
Abstract: Pinned structures in conjunction with shaped catalysts are used in metal-assisted chemical etching (MACE) of silicon to induce out-of-plane rotational etching. Sub-micro- and nanostructures are fabricated in silicon, which include scooped-out channels and curved subsurface horns, along with vertically oriented thin metal structures. Five different etching modes induced by catalyst and pinning geometry are identified: 1) fully pinned–no etching, 2) rotation via twist, 3) rotation via delamination, 4) in-plane bending, and 5) swinging. The rotation angle is roughly controlled through catalyst geometry. The force and pressure experienced by the catalyst are calculated from the deformation of the catalyst and range between 0.5–3.5 μN and 0.5–3.9 MPa, respectively. This is a new, simple method to fabricate 3D, heterogeneous sub-micro- and nanostructures in silicon with high feature fidelity on the order of tens of nanometers while providing a method to measure the forces responsible for catalyst motion during MACE.