scispace - formally typeset
Search or ask a question

Showing papers on "Isotropic etching published in 2017"


Journal ArticleDOI
TL;DR: In this paper, superhydrophobic coatings on aluminium surfaces were prepared by chemical etching process using sodium hydroxide and lauric acid and the surface morphology analysis showed the presence of a rough microstuctures on the treated surfaces and the contact angle measurements confirmed the super-hydrophilic nature with high static contact angle of 170° and low sliding angle of 5°.

99 citations


Patent
30 Jun 2017
TL;DR: In this paper, a method of processing a substrate by omitting a photolithographic process is disclosed, which includes forming at least one layer on a stepped structure having an upper surface, a lower surface, and a side surface that connects the upper surface to the lower surface.
Abstract: A method of processing a substrate by omitting a photolithographic process is disclosed. The method includes forming at least one layer on a stepped structure having an upper surface, a lower surface, and a side surface that connects the upper surface to the lower surface, selectively densifying portions of the at least one layer respectively on the upper surface and the lower surface via asymmetric plasma application, and performing an isotropic etching process on the at least one layer. During the isotropic etching process, the portion of the at least one layer formed on the upper surface is separated from the portion of the at least one layer formed on the lower surface.

91 citations


Journal ArticleDOI
TL;DR: In this paper, historical background of mesoporous silica materials and methods which are used to synthesize these materials such as sol-gel method, microwave assisted technique, chemical etching technique, templating approach are discussed.

66 citations


Journal ArticleDOI
TL;DR: This work designs and fabricated GaN NW array-based vertical field-effect transistors with wrap-gated structure with potential applications in next-generation power switches and high-temperature digital circuits and proposes a model concerning surface bonding configuration on crystallography facets to understand the anisotropic wet etching mechanism.
Abstract: Vertically aligned gallium nitride (GaN) nanowire (NW) arrays have attracted a lot of attention because of their potential for novel devices in the fields of optoelectronics and nanoelectronics. In this work, GaN NW arrays have been designed and fabricated by combining suitable nanomachining processes including dry and wet etching. After inductively coupled plasma dry reactive ion etching, the GaN NWs are subsequently treated in wet chemical etching using AZ400K developer (i.e., with an activation energy of 0.69 ± 0.02 eV and a Cr mask) to form hexagonal and smooth a-plane sidewalls. Etching experiments using potassium hydroxide (KOH) water solution reveal that the sidewall orientation preference depends on etchant concentration. A model concerning surface bonding configuration on crystallography facets has been proposed to understand the anisotropic wet etching mechanism. Finally, NW array-based vertical field-effect transistors with wrap-gated structure have been fabricated. A device composed of 99 NWs exhibits enhancement mode operation with a threshold voltage of 1.5 V, a superior electrostatic control, and a high current output of >10 mA, which prevail potential applications in next-generation power switches and high-temperature digital circuits.

65 citations


Journal ArticleDOI
TL;DR: FTIR of exhaust and emission spectra of discharges show oxygen-containing species known for their ability to stimulate biochemical processes and deactivate pathogenic microorganisms, which may be partly responsible for the plasma chemical etching of seed surface through oxidizing the organic components of the seed coat.
Abstract: Cold atmospheric plasma has recently emerged as a simple, low-cost and efficient physical method for inducing significant biological responses in seeds and plants without the use of traditional, potentially environmentally-hazardous chemicals, fungicides or hormones. While the beneficial effects of plasma treatment on seed germination, disease resistance and agricultural output have been reported, the mechanisms that underpin the observed biological responses are yet to be fully described. This study employs Fourier Transform Infrared (FTIR) spectroscopy and emission spectroscopy to capture chemical interactions between plasmas and seed surfaces with the aim to provide a more comprehensive account of plasma−seed interactions. FTIR spectroscopy of the seed surface confirms plasma-induced chemical etching of the surface. The etching facilitates permeation of water into the seed, which is confirmed by water uptake measurements. FTIR of exhaust and emission spectra of discharges show oxygen-containing species known for their ability to stimulate biochemical processes and deactivate pathogenic microorganisms. In addition, water gas, CO2, CO and molecules containing −C(CH3)3− moieties observed in FTIR spectra of the exhaust gas during plasma treatment may be partly responsible for the plasma chemical etching of seed surface through oxidizing the organic components of the seed coat.

55 citations


Journal ArticleDOI
TL;DR: A superior micron-sized inverted pyramid structure has been successfully achieved by one-step copper nanoparticles assisted chemical etching in Si/Cu(NO3)2/HF/H2O2 solution for light trapping in silicon solar cells.
Abstract: A superior micron-sized inverted pyramid structure has been successfully achieved by one-step copper nanoparticles assisted chemical etching in Si/Cu(NO3)2/HF/H2O2 solution for light trapping in silicon solar cells. The detailed mechanisms of such a novel method have been systematically demonstrated. The charge transfer during the reaction has been revealed by the simplified energy band diagram of the system as well. In order to form micro-structured inverted pyramids, the generation and dissolution of Cu nanoparticles should keep in balance during the reaction, which depends on the concentration of the etchant, the doping type and the doping level of the silicon substrate. With the investigation of the intrinsic properties of the silicon substrate, the etching rate is found out as a combined result of the electron concentration and the defect density of the substrate, as well as the potential barrier on the interface of Si/Cu nanoparticles. Furthermore, the anisotropic nature of Cu assisted chemical etching has also been investigated.

54 citations


Journal ArticleDOI
TL;DR: Comparative investigations for NH3-sensing properties indicate that the RNWs modified by discrete Ag NPs (Ag@RNWs) display an ∼3-fold enhancement in gas response at room temperature compared with pristine SiNWs.
Abstract: Surface functionalization is very effective in enhancing sensing properties of a chemiresistive gas sensor. In this work, we develop a novel and cost-effective process to prepare Ag-modified silicon nanowire (SiNW) sensors and further suggest a resistance effect model to clarify the enhanced sensing mechanism of Ag-modified SiNWs. The SiNWs were formed via metal-assisted chemical etching (MACE), and the Ag nanoparticle (NP) modification was achieved in situ based on the MACE-produced Ag dendrites by involving a crucial anisotropic postetching of TMAH. The TMAH etching induces a loose array of needle-like, rough SiNWs (RNWs) with firm attachment of tiny Ag NPs. Comparative investigations for NH3-sensing properties indicate that the RNWs modified by discrete Ag NPs (Ag@RNWs) display an ∼3-fold enhancement in gas response at room temperature compared with pristine SiNWs. Meanwhile, transient response and ultrafast recovery are observed for the Ag@RNW sensor (tres ≤ 2 s and trec ≤ 9 s to 0.33–10 ppm of NH3). ...

50 citations


Journal ArticleDOI
TL;DR: A novel method called alternating metal-assisted chemical etching is reported for the fabrication of kinked Si NWs with controlled 3D geometry, which may provide a feasible and economical way to fabricate novel silicon nanowires, nanostructures, and devices for broad applications.
Abstract: Kinked silicon (Si) nanowires (NWs) have many special properties that make them attractive for a number of applications, such as microfluidics devices, microelectronic devices, and biosensors. However, fabricating NWs with controlled three-dimensional (3D) geometry has been challenging. In this work, a novel method called alternating metal-assisted chemical etching is reported for the fabrication of kinked Si NWs with controlled 3D geometry. By the use of multiple etchants with carefully selected composition, one can control the number of kinks, their locations, and their angles by controlling the number of etchant alternations and the time in each etchant. The resulting number of kinks equals the number times the etchant is alternated, the length of each segment separated by kinks has a linear relationship with the etching time, and the kinking angle is related to the surface tension and viscosity of the etchants. This facile method may provide a feasible and economical way to fabricate novel silicon nan...

50 citations


Journal ArticleDOI
TL;DR: In this paper, a facile chemical etching strategy was used on 2D plasmonic Ag nanoparticle supercrystals, and fine nanopore arrays with sub-10 nm pore size were successfully fabricated.
Abstract: Nanopore structures have displayed attractive prospects in diverse important applications such as nanopore-based biosensors and enhanced spectroscopy. However, on the one hand, the fabrication techniques to obtain sub-10 nm sized nanopores so far is very limited. On the other hand, the electromagnetic enhancement of nanopores is still relatively low. In this work, using a facile chemical etching strategy on 2D plasmonic Ag nanoparticle supercrystals, fine nanopore arrays with sub-10 nm pore size have been successfully fabricated and a “nanopore-in-nanogap” hybrid plasmon mode has been investigated. An in situ etching and surface-enhanced Raman spectroscopy (SERS) detection indicate that novel hybrid plasmon structure may create an enhanced electromagnetic coupling and increase SERS signal at ≈10× magnification. The breaking of plasmon bonding dipolar mode and generation of antibonding-like plasmon mode contribute to this enhanced electromagnetic coupling. The facile etching strategy, as a common approach, may open the doors for the fabrication of nanopores in various compositions for numerous applications.

49 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrate the self-limited etch of silicon nitride in a commercial plasma etch chamber, which consists of two sequential steps, surface modification in hydrogen plasma followed by the removal of modified layers in fluorinated plasma.
Abstract: Atomic layer etching (ALE) is a promising technique that can solve the challenges associated with continuous or pulsed plasma processes—trade-offs between selectivity, profile, and aspect ratio dependent etching. Compared to silicon, oxide, and other materials, atomic layer etching of silicon nitride has not been extensively reported. In this paper, the authors demonstrate the self-limited etching of silicon nitride in a commercial plasma etch chamber. The process discussed in this paper consists of two sequential steps—surface modification in hydrogen plasma followed by the removal of modified layers in fluorinated plasma. In addition to the ALE characteristics, the authors also demonstrate that the process is anisotropic and the selectivity to oxide is >100. Although the saturated etch rate of one monolayer per cycle could not be attained, self-limited etching of silicon nitride still enables us to incorporate the benefits of atomic layer etching such as an absence of isodense bias and an extremely high selectivity to oxide into practical etch applications.

48 citations


Journal ArticleDOI
TL;DR: A diffusion-controlled metal-assisted chemical etching method is developed to fabricate Si zigzag NWs by tailoring the composition of etchant to change its diffusivity, etching direction, and etching time, and it is found that a critical length of NW (>1 μm) is needed to form zgzag nanowires.
Abstract: Silicon (Si) zigzag nanowires (NWs) have a great potential in many applications because of its high surface/volume ratio. However, fabricating Si zigzag NWs has been challenging. In this work, a diffusion-controlled metal-assisted chemical etching method is developed to fabricate Si zigzag NWs. By tailoring the composition of etchant to change its diffusivity, etching direction, and etching time, various zigzag NWs can be easily fabricated. In addition, it is also found that a critical length of NW (>1 μm) is needed to form zigzag nanowires. Also, the amplitude of zigzag increases as the location approaches the center of the substrate and the length of zigzag nanowire increases. It is also demonstrated that such zigzag NWs can help the silicon substrate for self-cleaning and antireflection. This method may provide a feasible and economical way to fabricate zigzag NWs and novel structures for broad applications.

Journal ArticleDOI
TL;DR: In this article, a laterally porous photoanode with aligned nanopores was fabricated using a lateral anodic etching process in HNO3 solution, which can be modified from triangular pores to quasi-circular pores with increasing the voltage, indicating the transformation from anisotropic etching gradually toward isotropic etching.
Abstract: GaN with aligned nanopores was fabricated using a lateral anodic etching process in HNO3 solution. This laterally porous structure can be modified from triangular pores to quasi-circular pores with increasing the voltage, indicating the transformation from anisotropic etching gradually toward isotropic etching. Furthermore, we have established the correlation between the etching current and pore trajectories based on the in situ chronoamperometry and find that the lateral etching is initially driven by the avalanche effect, then enter a steady state as a balance between the oxidation and dissolution of GaN at the pore tips. The water splitting properties of the laterally porous photoanode have also been studied. Compared with the as-grown GaN film, nearly 3.4 times enhancement of self-driven photocurrent was achieved for the porous GaN with triangular pores. Our findings not only reveal the formation kinetics of porous GaN but also pave a way for the application of solar water splitting using laterally po...

Journal ArticleDOI
TL;DR: In this article, the effects of various etch parameters like ICP and RIE powers, BCl3/Ar gas ratio and chamber pressure on etch rate were studied systematically and a synergic etching mechanism between chemical and physical components was proposed and used to obtain fast Ga2O3 etch rates more than 160 nm/min, nearlyvertical sidewalls and smooth etched surfaces.
Abstract: Dry etching behavior of unintentionally-doped β-Ga2O3 has been studied in a BCl3/Ar chemistry using inductively-coupled-plasma reactive ion etching (ICP-RIE). The effects of various etch parameters like ICP and RIE powers, BCl3/Ar gas ratio and chamber pressure on etch rate are studied systematically. Higher ICP, RIE powers and lower pressure conditions are found to enhance the etch rate. A synergic etching mechanism between chemical and physical components is proposed and used to obtain fast Ga2O3 etch rates more than 160 nm/min, nearly-vertical sidewalls and smooth etched surfaces. The findings of this work will enable Ga2O3 vertical devices for power electronics.

Journal ArticleDOI
Qinghua Zhang1, Biyu Jin1, Wang Bing1, Yuchen Fu1, Xiaoli Zhan1, Fengqiu Chen1 
TL;DR: In this paper, a stable superhydrophobic aluminum alloy surface with dual geometric architectures was prepared by a combination of simple processes of chemical etching, dip-coating, and modification of fluorosilicone.
Abstract: The stable superhydrophobic aluminum alloy surface with dual geometric architectures was prepared by a combination of simple processes of chemical etching, dip-coating, and modification of fluorosilicone. The Al surface with 20 min of acid etching and nanosilica dip-coating has the best superhydrophobicity, which showed water contact angles (WCAs) of >157° and water sliding angles (SAs) of <1°. The superhydrophobic surface showed excellent antifogging, antifrosting, and delayed icing performances, compared to hydrophobic and hydrophilic Al surfaces. Furthermore, the superhydrophobicity of as-prepared surfaces is mechanically durable after 11 tape tests and 120 cm wear (under a pressure of 0.8 kPa). The strong interfacial interactions among the SiO2 nanoparticles, fluorosilicone-modified polyester resin, and the Al surface contributed to superior abrasion resistance. This method could provide a facile, low-cost, and stable route to fabricate a large-area superhydrophobic Al surface for application in vario...


Journal ArticleDOI
TL;DR: A new piezoelectric single crystal La3Ga4.85Fe0.15SiO14 (LGFS) was grown by the Czochralski method firstly as discussed by the authors.
Abstract: A new piezoelectric single crystal La3Ga4.85Fe0.15SiO14 (LGFS) was grown by the Czochralski method firstly. Its structural parameters were obtained by Rietveld refinement to the X-ray diffraction. The effective segregation coefficient k eff of Fe in the LGFS was determined to be 0.6. The cost of LGFS is reduced due to the doping of cheap Fe. The crystal density was measured to be 5.7 g cm−3 by the buoyancy method. The defect structure of LGFS crystal was investigated by the chemical etching with 85% H2SO4 etchant. Dislocation etching pit patterns of LGFS crystal are consistent with the corresponding atomic arrangement schematics. Compared with LGS, LGN, LGT, and LGAS crystal, the LGFS crystal exhibits outstanding dielectric and piezoelectric properties, and ɛ 11, ɛ 33, d 11, and d 14 are 20.86, 51.99, 6.5 pC/N, and −5.10 pC/N, respectively. Therefore, LGFS may be a new potential piezoelectric crystal with high performance and low expense.

Journal ArticleDOI
TL;DR: Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution and the prospects of etched UFG Titanium as the material for implants are discussed.
Abstract: In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

Journal ArticleDOI
TL;DR: In this paper, the consequences of non-ideal conditions on atomic layer etching (ALE) of silicon are investigated. But the authors focus on how close to ideal the system can be operated and the tolerance to nonideal condition.
Abstract: Current (and future) microelectronics fabrication requirements place unprecedented demands on the fidelity of plasma etching. As device features shrink to atomic dimensions, the plasma etching processes used to define these devices must resolve these scales. By separating etching processes into cycles of multiple, self-limited steps, different physics processes which are closely coupled in traditional plasma etching can be largely decoupled and separately optimized. This technique, atomic layer etching (ALE), can ideally remove uniform layers of material with consistent thickness in each cycle. ALE holds the promise of improving uniformity, reducing damage, increasing selectivity, and minimizing aspect ratio dependent etching (ARDE) rates. The practical implementation of ALE depends on how close to ideal the system can be operated and the tolerance to nonideal conditions. In this paper, results are discussed from a computational investigation of the consequences of nonidealities in the ALE of silicon usin...

Journal ArticleDOI
TL;DR: In this article, a diamond-wire-sawn (DWS) multicrystalline silicon (mc-Si) wafer texturation based on the metal-assisted chemical etching process with Ag/Cu dual elements and nanostructure rebuilding (NSR) treatment was presented to remove the saw marks and to realize uniform invert pyramid textured structures.
Abstract: In this paper, we presented a novel low-cost method for diamond-wire-sawn (DWS) multicrystalline silicon (mc-Si) wafer texturation based on the metal-assisted chemical etching process with Ag/Cu dual elements and nanostructure rebuilding (NSR) treatment to remove the saw marks and to realize uniform invert pyramid textured structures. Benefiting from both the increased optical absorption and better passivation, an efficiency of 18.71% for invert pyramid mc-Si solar cells from a DWS wafer with a standard size of 156 × 156 mm2 was obtained, which was 0.58% and 2.33% absolutely higher than that (18.13%) of the traditional mc-Si solar cell and than that (16.38%) of the black mc-Si solar cell without NSR treatment, respectively.

Journal ArticleDOI
TL;DR: A simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction with catalyst metal, which is not popular for graphene growth by chemical vapor deposition is proposed.
Abstract: Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

Journal ArticleDOI
TL;DR: A new route to grow epitaxial copper (Cu) ultra-thin films at ambient temperature on Si(001) wafers covered with native oxide without any prior chemical etching or plasma cleaning of the substrate is reported.
Abstract: We report on a new route to grow epitaxial copper (Cu) ultra-thin films (up to 150 nm thick) at ambient temperature on Si(001) wafers covered with native oxide without any prior chemical etching or plasma cleaning of the substrate. It consists of a single-step deposition process using high power impulse magnetron sputtering (HiPIMS) and substrate biasing. For a direct current (DC) substrate bias voltage of −130 V, Cu/Si heteroepitaxial growth is achieved by HiPIMS following the Cu(001) [100]//Si(001) [110] orientation, while under the same average deposition conditions, but using conventional DC magnetron sputtering, polycrystalline Cu films with [111] preferred orientation are deposited. In addition, the intrinsic stress has been measured in situ during growth by real-time monitoring of the wafer curvature. For this particular HiPIMS case, the stress is slightly compressive (−0.1 GPa), but almost fully relaxes after growth is terminated. As a result of epitaxy, the Cu surface morphology exhibits a regular pattern consisting of square-shaped mounds with a lateral size of typically 150 nm. For all samples, X-ray diffraction pole figures and scanning/transmission electron microscopy reveal the formation of extensive twinning of the Cu {111} planes.

Journal ArticleDOI
TL;DR: In this paper, a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology was proposed based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes.
Abstract: The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 min...

Journal ArticleDOI
29 Sep 2017-ACS Nano
TL;DR: The realization of ordered, uniform, array-based In0.53Ga0.47As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching ( MacEtch) technology combined with the post-MacEtch digital etching smoothing is demonstrated.
Abstract: Producing densely packed high aspect ratio In0.53Ga0.47As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III−V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In0.53Ga0.47As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of InxGa1−xAs is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In0.53Ga0.47As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regi...

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate a substantial improvement on the achieved aspect ratio up to a value of 500:1, by producing 16nm wide platinum zones with thicknesses up to 8'μm.
Abstract: Fresnel zone plates are widely used as nanofocusing optics for x-ray microscopy, where the spatial resolution is set by the width of the finest rings while the efficiency is set by their thickness. This leads to the requirement for high aspect ratio nanofabrication. Metal-assisted chemical etching and atomic layer deposition has already been used to produce high aspect ratio zone plate structures on unthinned silicon wafers. The authors demonstrate here a substantial improvement on the achieved aspect ratio up to a value of 500:1, by producing 16 nm wide platinum zones with thicknesses up to 8 μm. At the same time, the silicon substrate was thinned to 15 μm as required for a practically useful optic. First tests have shown 4.8% diffraction efficiency using 20 keV x rays. This x-ray focusing efficiency is higher than most Fresnel zone plates for this photon energy and near what has been achieved with multilayer-coated Kirkpatrick–Baez mirrors, and multilayer Laue lenses. Hard x-ray zone plates offer the advantages of easy alignment, energy tunability, and one can make many zone plates in a batch on a single silicon chip.

Journal ArticleDOI
TL;DR: It was found that the pyramidal textures made by copper-induced cycling reactions exhibited the sound antireflection characteristics, and further achieved the leading conversion efficiency of 10.7%, approximately 1.8 times and beyond 1.2 times greater than that of untexturized and nanowire-based solar cells, respectively.
Abstract: Metal-assisted chemical etching (MaCE) has been widely employed for the fabrication of regular silicon (Si) nanowire arrays. These features were originated from the directional etching of Si preferentially along orientations through the catalytic assistance of metals, which could be gold, silver, platinum or palladium. In this study, the dramatic modulation of etching profiles toward pyramidal architectures was undertaken by utilizing copper as catalysts through a facile one-step etching process, which paved the exceptional way on the texturization of Si for advanced photovoltaic applications. Detailed examinations of morphological evolutions, etching kinetics and formation mechanism were performed, validating the distinct etching model on Si contributed from cycling reactions of copper deposition and dissolution under a quasi-stable balance. In addition, impacts of surface texturization on the photovoltaic performance of organic/inorganic hybrid solar cells were revealed through the spatial characterizations of voltage fluctuations upon light mapping analysis. It was found that the pyramidal textures made by copper-induced cycling reactions exhibited the sound antireflection characteristics, and further achieved the leading conversion efficiency of 10.7%, approximately 1.8 times and beyond 1.2 times greater than that of untexturized and nanowire-based solar cells, respectively.

Journal ArticleDOI
TL;DR: In this paper, femtosecond laser-induced modifications (i.e., morphology, crystallization, and nanostructure) in lithium niobium silicate glass at high repetition rates were explored to get insight on the ultrafast laser-matter interaction according to the pulse energy and writing configuration (the laser polarization direction versus scanning one).
Abstract: We explore femtosecond laser-induced modifications (i.e., morphology, crystallization, and nanostructure) in lithium niobium silicate glass at high repetition rates (1030 nm, 300 fs, and 300 kHz) to get insight on the ultrafast laser–matter interaction according to the pulse energy and writing configuration (the laser polarization direction versus scanning one). The modifications can be classified into three regimes according to pulse energy: (1) at 0.3–0.4 μJ/pulse, one amorphous zone with a larger sensitivity to chemical etching; (2) at 0.5–0.9 μJ/pulse, textured nanocrystals embedded in lamella-like amorphous phases whatever the laser polarization or scanning direction is; and (3) at 1.0–2.2 μJ/pulse, crystallization dependent on the writing configuration. Remarkably, we show in this paper that the orientation of the nanostructure can be controlled by laser polarization. In addition, this nanostructure is investigated in three dimensions by a combination of scanning electron microscope, electron backscatter diffraction, and transmission electron microscopy. This finding may guide users to the optimal parameters for applications in optics.

Journal ArticleDOI
Abstract: Chemical etching of silicon by fluorine atoms in the absence of ion bombardment is reviewed. Controversies on the identity of etching products and reaction probabilities are discussed. Attempts are made to explain the apparent presence of SiF2 as a primary product in many studies, dating back to 1980, but not in others, including those of Harold Winters from as early as 1979. Reported estimates of reaction probabilities (here defined as the probability of removing a Si atom from the substrate per incident F atom) vary by a factor of 2000. When these values, with some corrections and reasonable adjustments, are plotted as a function of F atom flux, most of them fall on a “universal curve” that reveals a large (∼30-fold) decrease in the reaction probability with increasing F flux, from 0.03 at a F flux 1012 cm−2 s−1 to 0.001 at a flux of 1020 cm−2 s−1. These values were extracted from beam experiments with F atoms generated from cracking of F2, including those by Harold Winters, from isotropic etching in pl...

Journal ArticleDOI
TL;DR: The interaction of etch intermediates with etching surfaces controls the solubility of reaction intermediates and is an important parameter in fabricating densely packed clean 3D nanostructures for future generation microelectronics.
Abstract: Wet chemical etching is a key process in fabricating silicon (Si) nanostructures. Currently, wet etching of Si is proposed to occur through the reaction of surface Si atoms with etchant molecules, forming etch intermediates that dissolve directly into the bulk etchant solution. Here, using in situ transmission electron microscopy (TEM), we follow the nanoscale wet etch dynamics of amorphous Si (a-Si) nanopillars in real-time and show that intermediates generated during alkaline wet etching first aggregate as nanoclusters on the Si surface and then detach from the surface before dissolving in the etchant solution. Molecular dynamics simulations reveal that the molecules of etch intermediates remain weakly bound to the hydroxylated Si surface during the etching and aggregate into nanoclusters via surface diffusion instead of directly diffusing into the etchant solution. We confirmed this model experimentally by suppressing the formation of nanoclusters of etch intermediates on the Si surfaces by shielding t...

Journal ArticleDOI
TL;DR: In this paper, an optimized MacEtch process using a nanostructured Au catalyst is proposed for fabrication of Si high aspect ratio microstructures, where the addition of isopropanol as surfactant in the HF-H 2 O 2 water solution improves the uniformity and the control of the H 2 gas release.

Journal ArticleDOI
TL;DR: It is found that particles located at the edge of the hcp 2D (3D) crystals showed increased etch rates compared to those of the particles within the crystals, indicating that 2D and 3D order affect how nanostructures chemically interact with their surroundings.
Abstract: We report the isotropic etching of 2D and 3D polystyrene (PS) nanosphere hcp arrays using a benchtop O2 radio frequency plasma cleaner. Unexpectedly, this slow isotropic etching allows tuning of both particle diameter and shape. Due to a suppressed etching rate at the point of contact between the PS particles originating from their arrangement in 2D and 3D crystals, the spherical PS templates are converted into polyhedral structures with well-defined hexagonal cross sections in directions parallel and normal to the crystal c-axis. Additionally, we found that particles located at the edge (surface) of the hcp 2D (3D) crystals showed increased etch rates compared to those of the particles within the crystals. This indicates that 2D and 3D order affect how nanostructures chemically interact with their surroundings. This work also shows that the morphology of nanostructures periodically arranged in 2D and 3D supercrystals can be modified via gas-phase etching and programmed by the superlattice symmetry. To show the potential applications of this approach, we demonstrate the lithographic transfer of the PS template hexagonal cross section into Si substrates to generate Si nanowires with well-defined hexagonal cross sections using a combination of nanosphere lithography and metal-assisted chemical etching.