scispace - formally typeset
Search or ask a question

Showing papers on "Latency (engineering) published in 2007"


Journal ArticleDOI
17 May 2007-Nature
TL;DR: Whereas the immune evasion capabilities and lifelong persistence of herpesviruses are commonly viewed as solely pathogenic, the data suggest that latency is a symbiotic relationship with immune benefits for the host.
Abstract: All humans become infected with multiple herpesviruses during childhood. After clearance of acute infection, herpesviruses enter a dormant state known as latency. Latency persists for the life of the host and is presumed to be parasitic, as it leaves the individual at risk for subsequent viral reactivation and disease. Here we show that herpesvirus latency also confers a surprising benefit to the host. Mice latently infected with either murine gammaherpesvirus 68 or murine cytomegalovirus, which are genetically highly similar to the human pathogens Epstein-Barr virus and human cytomegalovirus, respectively, are resistant to infection with the bacterial pathogens Listeria monocytogenes and Yersinia pestis. Latency-induced protection is not antigen specific but involves prolonged production of the antiviral cytokine interferon-gamma and systemic activation of macrophages. Latency thereby upregulates the basal activation state of innate immunity against subsequent infections. We speculate that herpesvirus latency may also sculpt the immune response to self and environmental antigens through establishment of a polarized cytokine environment. Thus, whereas the immune evasion capabilities and lifelong persistence of herpesviruses are commonly viewed as solely pathogenic, our data suggest that latency is a symbiotic relationship with immune benefits for the host.

661 citations


Journal ArticleDOI
TL;DR: This Review will consider current approaches to the analysis of HIV-1 latency both in vivo and in vitro, and considers whether there is an entirely representative in vitro model in which to explore the molecular mechanisms of latency.
Abstract: Viral latency is a reversibly non-productive state of infection that allows some viruses to evade host immune responses. As a consequence of its tropism for activated CD4(+) T cells, HIV-1 can establish latent infection in resting memory CD4(+) T cells, which are generated when activated CD4(+) T cells return to a quiescent state. Latent HIV-1 persists as a stably integrated but transcriptionally silent provirus. In this state, the virus is unaffected by immune responses or antiretroviral drugs, and this latent reservoir in resting CD4(+) T cells is a major barrier to curing the infection. Unfortunately, there is no simple assay to measure the number of latently infected cells in a patient, nor is there an entirely representative in vitro model in which to explore the molecular mechanisms of latency. This Review will consider current approaches to the analysis of HIV-1 latency both in vivo and in vitro.

226 citations


Proceedings ArticleDOI
01 May 2007
TL;DR: This paper designed an algorithm based on maximal independent sets which has an latency bound of 23R + Delta - 18; thus this algorithm has a significantly less latency bound than earlier algorithms especially when Delta is large.
Abstract: Data aggregation is a fundamental yet time-consuming task in wireless sensor networks. We focus on the latency part of data aggregation. Previously, the data aggregation algorithm of least latency [1] has a latency bound of (Delta - 1)R, where Delta is the maximum degree and R is the network radius. Since both Delta and R could be of the same order of the network size, this algorithm can still have a rather high latency. In this paper, we designed an algorithm based on maximal independent sets which has an latency bound of 23R + Delta - 18. Here Delta contributes to an additive factor instead of a multiplicative one; thus our algorithm is nearly constant approximation and it has a significantly less latency bound than earlier algorithms especially when Delta is large.

221 citations


Proceedings ArticleDOI
05 Nov 2007
TL;DR: A simple stochastic model is described that can be used to compare different data-driven downloading strategies based on two performance metrics: continuity (probability of continuous playback), and startup latency (expected time to start playback).
Abstract: P2P streaming tries to achieve scalability (like P2P file distribution) and at the same time meet real-time playback requirements. It is a challenging problem still not well understood. In this paper, we describe a simple stochastic model that can be used to compare different data-driven downloading strategies based on two performance metrics: continuity (probability of continuous playback), and startup latency (expected time to start playback). We first study two simple strategies: rarest first and greedy. The former is a well-known strategy for P2P file sharing that gives good scalability, whereas the latter an intuitively reasonable strategy to optimize continuity and startup latency from a single peer's viewpoint. Greedy, while achieving low startup latency, fares poorly in continuity by failing to maximize P2P sharing; whereas rarest first is the opposite. This highlights the trade-off between startup latency and continuity, and how system scalability improves continuity. Based on this insight, we propose a mixed strategy that can be used to achieve the best of both worlds. Our algorithm dynamically adapts to the peer population size to ensure scalability; at the same time, it reserves part of a peer's effort to the immediate playback requirements to ensure low startup latency.

205 citations


Proceedings ArticleDOI
14 Sep 2007
TL;DR: This paper investigates the spatial dimension of uncertainty that is inherent to varying locations of transmitters, resulting in unequal propagation latency to a receiver, and proposes a modification that adds guard bands to transmission slots to handle spatial uncertainty.
Abstract: The goal of this paper is understand how location-dependent propagation latency affects medium access control (MAC) by using ALOHA as a case study. MAC protocols in underwater acoustic networks suffer from latency that is five orders-of-magnitude larger than that in radio networks. Existing work on analyzing MAC throughput in RF networks, where the propagation latency is negligible, generally makes assumptions that render propagation latency irrelevant. As a result, only transmit time is considered as being uncertain in contention-based protocols. In this paper, we investigate the spatial dimension of uncertainty that is inherent to varying locations of transmitters, resulting in unequal propagation latency to a receiver. We show through simulation that the benefit of synchronization in slotted ALOHA is completely lost due to such latency. To handle spatial uncertainty, we propose a modification that adds guard bands to transmission slots. We then perform simulation and first-order analysis on this modified MAC to find its optimal operating parameters. Our simulation and analytic results suggest that shorter hops improve throughput.

166 citations


Patent
17 Dec 2007
TL;DR: In this article, an item of data intended for storage in the memory is divided into first and second parts, where the first part is stored in the first memory cells and the second part in the second memory cells.
Abstract: A method for data storage includes providing a memory, which includes first memory cells having a first reading latency and second memory cells having a second reading latency that is higher than the first reading latency. An item of data intended for storage in the memory is divided into first and second parts. The first part is stored in the first memory cells and the second part is stored in the second memory cells. In response to a request to retrieve the item of data from the memory, the first part is read from the first memory cells and provided as output. The second part is read from the second memory cells, and provided as output subsequently to outputting the first part.

157 citations


Journal ArticleDOI
01 Jul 2007-Cytokine
TL;DR: A deeper understanding of the molecular underpinnings of HIV latency is clearly required, including determining whether viral latency is actively reinforced by transcriptional repressors, defining which inducible host transcription factors most effectively antagonize latency, and elucidating the role of chromatin in viral latency.

122 citations


Proceedings ArticleDOI
09 Sep 2007
TL;DR: This paper presents a polynomial-time algorithm with provable worst-case performance guarantee for this cross-layer latency minimization problem, and shows that a number of variants of the cross- layer latency minimizations problem can also be approximated efficiently inPolynomial time.
Abstract: Recently, there has been substantial interest in the design of cross-layer protocols for wireless networks. These protocols optimize certain performance metric(s) of interest (e.g. latency, energy, rate) by jointly optimizing the performance of multiple layers of the protocol stack. Algorithm designers often use geometric-graph-theoretic models for radio interference to design such cross-layer protocols. In this paper we study the problem of designing cross-layer protocols for multi-hop wireless networks using a more realistic Signal to Interference plus Noise Ratio (SINR) model for radio interference. The following cross-layer latency minimization problem is studied: Given a set V of transceivers, and a set of source-destination pairs, (i) choose power levels for all the transceivers, (ii) choose routes for all connections, and (iii) construct an end-to-end schedule such that the SINR constraints are satisfied at each time step so as to minimize the make-span of the schedule (the time by which all packets have reached their respective destinations). We present a polynomial-time algorithm with provable worst-case performance guarantee for this cross-layer latency minimization problem. As corollaries of the algorithmic technique we show that a number of variants of the cross-layer latency minimization problem can also be approximated efficiently in polynomial time. Our work extends the results of Kumar et al. (Proc. SODA, 2004) and Moscibroda et al. (Proc. MOBIHOC, 2006). Although our algorithm considers multiple layers of the protocol stack, it can naturally be viewed as compositions of tasks specific to each layer --- this allows us to improve the overall performance while preserving the modularity of the layered structure.

118 citations


Journal ArticleDOI
TL;DR: That the same chimeric virus has a characteristic HSV-1 reactivation phenotype further suggests that LAT-influenced establishment of latency in specific neuronal subtypes could be an important part of the mechanism by which LAT influences viral reactivation phenotypes.
Abstract: Herpes simplex virus type 1 (HSV-1) and HSV-2 cause very similar acute infections but differ in their abilities to reactivate from trigeminal and dorsal root ganglia. To investigate differences in patterns of viral infection, we colabeled murine sensory ganglia for evidence of HSV infection and for the sensory neuron marker A5 or KH10. During acute infection, 7 to 10% of HSV-1 or HSV-2 antigen-positive neurons were A5 positive and 13 to 16% were KH10 positive, suggesting that both viruses reach each type of neuron in a manner proportional to their representation in uninfected ganglia. In murine trigeminal ganglia harvested during HSV latency, 25% of HSV-1 latency-associated transcript (LAT)- and 4% of HSV-2 LAT-expressing neurons were A5 positive, while 12% of HSV-1 LAT- and 42% of HSV-2 LAT-expressing neurons were KH10 positive. A similar difference was observed in murine dorsal root ganglia. These differences could not be attributed to differences in LAT expression levels in A5- versus KH10-positive neurons. Thus, HSV-1 demonstrated a preference for the establishment of latency in A5-positive neurons, while HSV-2 demonstrated a preference for the establishment of latency in KH10-positive neurons. A chimeric HSV-2 mutant that expresses the HSV-1 LAT exhibited an HSV-1 phenotype, preferentially establishing latency in A5-positive neurons. These data imply that the HSV-1 and HSV-2 LAT regions influence the ability of virus to establish latency in different neuronal subtypes. That the same chimeric virus has a characteristic HSV-1 reactivation phenotype further suggests that LAT-influenced establishment of latency in specific neuronal subtypes could be an important part of the mechanism by which LAT influences viral reactivation phenotypes.

113 citations


Patent
30 Apr 2007
TL;DR: In this article, various embodiments of a method for signal adjustment through control of latency are disclosed. But the authors focus on the control of the latency and do not consider the latency itself.
Abstract: Various embodiments of a method for signal adjustment through control of latency are disclosed.

106 citations


Journal ArticleDOI
TL;DR: It is shown that an s-t-flow that is optimal with respect to the average latency objective is near-optimal for the maximum latency objective, and it is close to being fair.
Abstract: We study the problem of minimizing the maximum latency of flows in networks with congestion. We show that this problem is NP-hard, even when all arc latency functions are linear and there is a single source and sink. Still, an optimal flow and an equilibrium flow share a desirable property in this situation: All flow-carrying paths have the same length, i.e., these solutions are “fair,” which is in general not true for optimal flows in networks with nonlinear latency functions. In addition, the maximum latency of the Nash equilibrium, which can be computed efficiently, is within a constant factor of that of an optimal solution. That is, the so-called price of anarchy is bounded. In contrast, we present a family of instances with multiple sources and a single sink for which the price of anarchy is unbounded, even in networks with linear latencies. Furthermore, we show that an s-t-flow that is optimal with respect to the average latency objective is near-optimal for the maximum latency objective, and it is close to being fair. Conversely, the average latency of a flow minimizing the maximum latency is also within a constant factor of that of a flow minimizing the average latency.

Journal ArticleDOI
TL;DR: A hybrid router design which intermingles packet-switched flits with circuit-switches flits is proposed and a prediction-based coherence protocol is co-design that leverages the existence of circuits to optimize pair-wise sharing between cores.
Abstract: Circuit-switched networks can significantly lower the communication latency between processor cores, when compared to packet-switched networks, since once circuits are set up, communication latency approaches pure interconnect delay. However, if circuits are not frequently reused, the long set up time and poorer interconnect utilization can hurt overall performance. To combat this problem, we propose a hybrid router design which intermingles packet-switched flits with circuit-switched flits. Additionally, we co-design a prediction-based coherence protocol that leverages the existence of circuits to optimize pair-wise sharing between cores. The protocol allows pair-wise sharers to communicate directly with each other via circuits and drives up circuit reuse. Circuit-switched coherence provides overall system performance improvements of up to 17% with an average improvement of 10% and reduces network latency by up to 30%.

DOI
01 Jun 2007
TL;DR: An analysis framework designed to calculate the end-to-end latency and age of signal stream data as well as their jitter is introduced and the report describes how this latency analysis capability can be used to determine worst-case end- to- end latency on system models of different fidelity and how it accounts for partitioned architectures.
Abstract: : Control system components are sensitive to the end-to-end latency and age of signal data. They are also affected by variation (jitter) in latency and age values due to different runtime configurations (i.e., sampling or data-driven signal processing pipelines, dissimilar communication mechanisms, partitioned architectures, and globally synchronous versus asynchronous hardware). This technical note introduces an analysis framework designed to calculate the end-to-end latency and age of signal stream data as well as their jitter. The latency analysis framework and calculations are illustrated in the context of an example model that uses the flow specification notation of the Architecture Analysis & Design Language (AADL). The report describes how this latency analysis capability can be used to determine worst-case end-to-end latency on system models of different fidelity and how it accounts for partitioned architectures. It also summarizes the worst-case end-to-end flow latency analysis capability provided by the Open Source AADL Tool Environment (OSATE) flow latency analysis plug-in.

Journal ArticleDOI
TL;DR: Analysis of the pathogenesis and generation and in vivo characterization of a recombinant murine gammaherpesvirus 68 that expresses a constitutively active form of the NF-κB inhibitor, IκBαM provides evidence that NF-σB signaling plays an important role during multiple stages of γHV68 infection in vivo and, as such, represents a key host regulatory pathway that is likely manipulated by the virus to establish latency in B cells.
Abstract: A critical determinant in chronic gammaherpesvirus infections is the ability of these viruses to establish latency in a lymphocyte reservoir. The nuclear factor (NF)-κB family of transcription factors represent key players in B-cell biology and are targeted by gammaherpesviruses to promote host cell survival, proliferation, and transformation. However, the role of NF-κB signaling in the establishment of latency in vivo has not been addressed. Here we report the generation and in vivo characterization of a recombinant murine gammaherpesvirus 68 (γHV68) that expresses a constitutively active form of the NF-κB inhibitor, IκBαM. Inhibition of NF-κB signaling upon infection with γHV68-IκBαM did not affect lytic replication in cell culture or in the lung following intranasal inoculation. However, there was a substantial decrease in the frequency of latently infected lymphocytes in the lung (90% reduction) and spleens (97% reduction) 16 d post intranasal inoculation. Importantly, the defect in establishment of latency in lung B cells could not be overcome by increasing the dose of virus 100-fold. The observed decrease in establishment of viral latency correlated with a loss of activated, CD69hi B cells in both the lungs and spleen at day 16 postinfection, which was not apparent by 6 wk postinfection. Constitutive expression of Bcl-2 in B cells did not rescue the defect in the establishment of latency observed with γHV68-IκBαM, indicating that NF-κB–mediated functions apart from Bcl-2–mediated B-cell survival are critical for the efficient establishment of gammaherpesvirus latency in vivo. In contrast to the results obtained following intranasal inoculation, infection of mice with γHV68-IκBαM by the intraperitoneal route had only a modest impact on splenic latency, suggesting that route of inoculation may alter requirements for establishment of virus latency in B cells. Finally, analyses of the pathogenesis of γHV68-IκBαM provides evidence that NF-κB signaling plays an important role during multiple stages of γHV68 infection in vivo and, as such, represents a key host regulatory pathway that is likely manipulated by the virus to establish latency in B cells.

Proceedings ArticleDOI
24 Oct 2007
TL;DR: This work presents an I/O scheduling algorithm, "Flash-Backed I-O Requests", which leverages the on-board flash to reduce write latency and results show that with Flash-Backs I/o requests, overall write latency can be reduced by up to 70%.
Abstract: One of the biggest bottlenecks in desktop-based computing is the hard disk with I/O write latency being a key contributor. I/O write latency stems from the mechanical nature of hard disks, with seek and rotational delays the major components. Hybrid disk drives place a small amount of flash memory (NVCache) on the drive itself which can be leveraged by the host and has the potential to increase I/O performance and reduce hard disk power consumption. We present an I/O scheduling algorithm, "Flash-Backed I/O Requests", which leverages the on-board flash to reduce write latency. Since flash memory and rotating media have different I/O characteristics, predominantly in random access context, an I/O scheduler can decide which media will most efficiently service I/O requests. Our results show that with Flash-Backed I/O requests, overall write latency can be reduced by up to 70%.

Patent
03 Apr 2007
TL;DR: In this paper, a mechanism is disclosed for determining a congestion metric for a path in a network in which a latency value for a particular path may be determined by exchanging latency packets with another component.
Abstract: A mechanism is disclosed for determining a congestion metric for a path in a network. In one implementation, a congestion metric for a path includes one or more latency values and one or more latency variation values. A latency value for a path may be determined by exchanging latency packets with another component. For example, to determine the latency for a particular path, a first component may send a latency request packet to a second component via the particular path. In response, the second component may send a latency response packet back to the first component. Based upon timestamp information in the latency response packet, the latency on the particular path may be determined. From a plurality of such latencies, a latency variation may be determined. Taken individually or together, the latency value(s) and the latency variation value(s) provide an indication of how congested the particular path currently is.

Journal ArticleDOI
TL;DR: A portable, micro-miniature device was used to record the eye movements of amateur boxers before and after competitive bouts, and individual latency distributions were significantly affected after blows to the head, though the effects seemed to be reversible, with recovery over a few days.
Abstract: Premature return to play after concussion may have debilitating or even fatal consequences. Computerised neuropsychological test batteries are widely used to monitor recovery, but none meet all specified criteria. One possible alternative is to measure saccadic reaction time or latency. Latency reflects the operation of cerebral decision mechanisms, and is strongly influenced by many agents that impair cortical function. A portable, micro-miniature device (saccadometer) was used to record the eye movements of amateur boxers before and after competitive bouts. Individual latency distributions were significantly affected after blows to the head, though the effects seemed to be reversible, with recovery over a few days. This quantitative, objective and easy to use technique should perhaps be deployed more widely to evaluate its potential in monitoring the effects of sports-related head injuries.

Proceedings ArticleDOI
29 Aug 2007
TL;DR: An algorithm to determine the minimal achievable latency is proposed, providing an execution scheme for executing an SDFG with this latency, and a heuristic is proposed for optimizing latency under a throughput constraint.
Abstract: Synchronous data flow graphs (SDFGs) are a very useful means for modeling and analyzing streaming applications. Some performance indicators, such as throughput, have been studied before. Although throughput is a very useful performance indicator for concurrent real-time applications, another important metric is latency. Especially for applications such as video conferencing, telephony and games, latency beyond a certain limit cannot be tolerated. This paper proposes an algorithm to determine the minimal achievable latency, providing an execution scheme for executing an SDFG with this latency. In addition, a heuristic is proposed for optimizing latency under a throughput constraint. Experimental results show that latency computations are efficient despite the theoretical complexity of the problem. Substantial latency improvements are obtained, of 24-54% on average for a synthetic benchmark of 900 models, and up to 37% for a benchmark of six real DSP and multimedia models. The heuristic for minimizing latency under a throughput constraint gives optimal latency and throughput results under a constraint of maximal throughput for all DSP and multimedia models, and for over 95% of the synthetic models.

Journal ArticleDOI
19 Nov 2007
TL;DR: It is demonstrated that application level end-to-end one-way latency with a 10GbE connection can be as low as 10 μs for a single isolated request in a standard Linux network stack.
Abstract: Adoption of the 10 GbE Ethernet standard has been impeded by two important performance-oriented considerations: 1) processing requirements of common protocol stacks and 2) end-to-end latency. The overheads of typical software based protocol stacks on CPU utilization and throughput have been well evaluated in several studies. In this paper, we focus on end-to-end latency and present a detailed characterization across typical server system hardware and software stack components. We demonstrate that application level end-to-end latency with a 10 GbE connection can be as low as 10 microseconds for a single isolated request. The paper analyzes the components of the latency and discusses possible significant variations to the components under realistic conditions. We note that methods that are used to optimize throughput can often be responsible for the perception that Ethernet based latencies can be very high. Methods to pursue reducing the minimum latency and controlling the variations are presented.

Proceedings ArticleDOI
07 May 2007
TL;DR: This work investigates the design of a NoC that offers close to the ideal latency in some preferred, run-time configurable paths, using the "mad-postman" technique and a 2D mesh topology tailored for processor-memory communication.
Abstract: In multi-core ASICs, processors and other compute engines need to communicate with memory blocks and other cores with latency as close as possible to the ideal of a direct buffered wire. However, current state of the art networks-on-chip (NoCs) suffer, at best, latency of one clock cycle per hop. We investigate the design of a NoC that offers close to the ideal latency in some preferred, run-time configurable paths. Processors and other compute engines may perform network reconfiguration to guarantee low latency over different sets of paths as needed. Flits in non-preferred paths are given lower priority than flits in preferred ones, and suffer a delay of one clock cycle per hop when there is no contention. To achieve our goal, we use the "mad-postman" technique: every incoming flit is eagerly (i.e. speculatively) forwarded to the input's preferred output, if any. This is accomplished with the mere delay of a single pre-enabled tri-state driver. We later check if that decision was correct, and if not, we forward the flit to the proper output. Incorrectly forwarded flits are classified as dead and eliminated in later hops. We use a 2D mesh topology tailored for processor-memory communication, and a modified version of XY routing that remains deadlock-free. Performance gains are significant and can be proven greatly useful in other application domains as well

Proceedings ArticleDOI
15 Oct 2007
TL;DR: This paper describes a mechanism which incorporates information from several OSI Layers to speed up the Layer 2 handover, and shows that this new mechanism can decrease the handover latency significantly, to less than 100 ms in most cases.
Abstract: The Mobile WiMAX standard (IEEE 802.16e-2005) brings wireless broadband to a new level due to the support of nomadism. Still, handover latency in Mobile WiMAX is an issue that may affect real-time continuity of application sessions. This is partially due to the Layer 2 scanning/ranging, as well as the network re-entry procedure, which may result in a latency of hundreds of milliseconds, far exceeding the requirement of typical real-time services (e.g., 150 ms for Voice over IP). In this paper, we describe a mechanism which incorporates information from several OSI Layers to speed up the Layer 2 handover. We show by means of simulations that this new mechanism can decrease the handover latency significantly, to less than 100 ms in most cases.

01 Oct 2007
TL;DR: This document adapts the protocol for IPv4 networks to improve performance over Mobile IPv4 operations, including processing of Agent Advertisements, new Care of Address acquisition and Registration Request and Reply, and reduces the IP address configuration.
Abstract: This document adapts the Mobile IPv6 Fast Handovers to improve delay and packet loss resulting from Mobile IPv4 handover operations. Specifically, this document addresses movement detection, IP address configuration, and location update latencies during a handover. For reducing the IP address configuration latency, the document proposes that the new Care-of Address is always made to be the new access router's IP address. This memo defines an Experimental Protocol for the Internet community.

Journal ArticleDOI
TL;DR: This paper presents in a unified manner a partitioning approach for providing a latency below a threshold to the maximum number of users as possible in DVE systems and searches the assignment of avatars for the best trade-off among system latency, system throughput, and partitioning efficiency when solving the partitioning problem.
Abstract: Distributed virtual environment (DVE) systems allow multiple users working on different client computer's interconnected through different networks to interact in a shared virtual world. In these systems, latency is crucial for providing an acceptable quality of service (QoS), since it determines how fast client computers are reported about changes in the shared virtual scene produced by other client computers. This paper presents in a unified manner a partitioning approach for providing a latency below a threshold to the maximum number of users as possible in DVE systems. This partitioning approach searches the assignment of avatars, which represents the best trade-off among system latency, system throughput, and partitioning efficiency when solving the partitioning problem. Evaluation results show that the proposed approach not only maximizes system throughput, but also allows the system to satisfy, if possible, any specific latency requirement needed for providing QoS. This improvement is achieved without decreasing either image resolution or quality of animation, and it can be used together with other techniques already proposed. Therefore, it can contribute to provide QoS in DVEs.


Proceedings ArticleDOI
13 Nov 2007
TL;DR: A stable and comparable way of estimating the latency in a video see through AR system by encoding the time in the image and decoding the time after camera feedback, and proposing to visualize the measurements in a histogram.
Abstract: Latency is a key property of video see through AR systems since users' performance is strongly related to it. However, there is no standard way of latency measurement of an AR system in the literature. We have created a stable and comparable way of estimating the latency in a video see through AR system. The latency is estimated by encoding the time in the image and decoding the time after camera feedback. We have encoded the time as a translation of a circle in the image. The cross ratio has been used as an image feature that is preserved in a projective transformation. The encoding allows for a simple but accurate way of decoding. We show that this way of encoding has an adequate accuracy for latency measurements. As the method allows for a series of automatic measurements we propose to visualize the measurements in a histogram. This histogram reveals meaningful information about the system other than the mean value and standard deviation of the latency. The method has been tested on four different AR systems that use different camera technology, resolution and frame rates.

Patent
30 Oct 2007
TL;DR: In this article, a technique for promoting determinism among bus agents within a point-to-point (PtP) network is described, which is a technique to compensate for link latency, data skew, and clock shift within a PtP network of common system interface (CSI) bus agents.
Abstract: A technique for promoting determinism among bus agents within a point-to-point (PtP) network. More particularly, embodiments of the invention relate to techniques to compensate for link latency, data skew, and clock shift within a PtP network of common system interface (CSI) bus agents.

Journal ArticleDOI
TL;DR: The relationship of viral latency to gene-silencing mechanisms is reviewed, and it is shown that at least two gene- silencing mechanisms are used against the human immuno-deficiency virus.
Abstract: One of the cellular defenses against virus infection is the silencing of viral gene expression. There is evidence that at least two gene-silencing mechanisms are used against the human immuno-deficiency virus (HIV). Paradoxically, this cellular defense mechanism contributes to viral latency and persistence, and we review here the relationship of viral latency to gene-silencing mechanisms.

Book
01 Jan 2007
TL;DR: Latency Strategies of Alphaherpesviruses: Herpes Simplex Virus and Varicella-Zoster Virus Latency in Neurons and the Multifunctional Latency-Associated Nuclear Antigen of Kaposi's Sarcoma-Associated Herpesvirus.
Abstract: Latency Strategies of Alphaherpesviruses: Herpes Simplex Virus and Varicella-Zoster Virus Latency in Neurons.- Modulation of Apoptotic Pathways by Herpes Simplex Viruses.- Cytomegalovirus Latency.- Human Herpesvirus 6 and Human Herpesvirus 7.- Murid Herpesvirus 4 (MuHV-4): An Animal Model for Human Gammaherpesvirus Research.- Latency Strategies of Equine Herpesviruses.- The Multifunctional Latency-Associated Nuclear Antigen of Kaposi's Sarcoma-Associated Herpesvirus.- Epstein-Barr Virus.

Patent
22 Jan 2007
TL;DR: In this article, a root node determines a time delay for transmission of a data packet from the root node to each base station, a maximum time delay of those time delays, and a timing latency based upon the maximum delay.
Abstract: Aspects of the invention include a root node of a wireless communication infrastructure that buffers data packets for transmission by base stations over an air interface. The root node determines a time delay for transmission of a data packet from the root node to each base station, a maximum time delay of those time delays, and a timing latency based upon the maximum time delay. The root node transmits the timing latency to the base stations. In response, each base station initiates transmission of data packets received by the root node after expiration of the timing latency. Alternatively, the root node, instead of the base stations, may buffer the data packets, and transmit them so that they arrive at the base stations at substantially the same time.

Journal ArticleDOI
TL;DR: This paper compares the effects of various technologies under development for enhancing and optimizing the existing MIPv6 protocol on the handoff latency and proposes the most suitable variation of the Mobile IPv6 protocol for reducing handoffs.