scispace - formally typeset
Search or ask a question

Showing papers on "Latency (engineering) published in 2012"


Proceedings Article
25 Apr 2012
TL;DR: The HULL (High-bandwidth Ultra-Low Latency) architecture is presented to balance two seemingly contradictory goals: near baseline fabric latency and high bandwidth utilization and results show that by sacrificing a small amount of bandwidth, HULL can dramatically reduce average and tail latencies in the data center.
Abstract: Traditional measures of network goodness--goodput, quality of service, fairness--are expressed in terms of bandwidth. Network latency has rarely been a primary concern because delivering the highest level of bandwidth essentially entails driving up latency--at the mean and, especially, at the tail. Recently, however, there has been renewed interest in latency as a primary metric for mainstream applications. In this paper, we present the HULL (High-bandwidth Ultra-Low Latency) architecture to balance two seemingly contradictory goals: near baseline fabric latency and high bandwidth utilization. HULL leaves 'bandwidth headroom' using Phantom Queues that deliver congestion signals before network links are fully utilized and queues form at switches. By capping utilization at less than link capacity, we leave room for latency sensitive traffic to avoid buffering and the associated large delays. At the same time, we use DCTCP, a recently proposed congestion control algorithm, to adaptively respond to congestion and to mitigate the bandwidth penalties which arise from operating in a bufferless fashion. HULL further employs packet pacing to counter burstiness caused by Interrupt Coalescing and Large Send Offloading. Our implementation and simulation results show that by sacrificing a small amount (e.g., 10%) of bandwidth, HULL can dramatically reduce average and tail latencies in the data center.

463 citations


Journal ArticleDOI
TL;DR: The mechanisms involved in the regulation of latency that centre on the functions of the virus-encoded latency-associated transcripts (LATs), epigenetic regulation of the latent virus genome and the molecular events that precipitate reactivation are considered.
Abstract: Herpes simplex virus type 1 is a neurotropic herpesvirus that establishes latency within sensory neurones. Following primary infection, the virus replicates productively within mucosal epithelial cells and enters sensory neurones via nerve termini. The virus is then transported to neuronal cell bodies where latency can be established. Periodically, the virus can reactivate to resume its normal lytic cycle gene expression programme and result in the generation of new virus progeny that are transported axonally back to the periphery. The ability to establish lifelong latency within the host and to periodically reactivate to facilitate dissemination is central to the survival strategy of this virus. Although incompletely understood, this review will focus on the mechanisms involved in the regulation of latency that centre on the functions of the virus-encoded latency-associated transcripts (LATs), epigenetic regulation of the latent virus genome and the molecular events that precipitate reactivation.

217 citations


Proceedings ArticleDOI
14 Oct 2012
TL;DR: This paper analyzes three data center applications, Memcached, OpenFlow, and Web search, to measure the effect of 1) kernel socket handling, NIC interaction, and the network stack, 2) application locks contested in the kernel, and 3) application-layer queueing due to requests being stalled behind straggler threads on tail latency.
Abstract: In data center applications, predictability in service time and controlled latency, especially tail latency, are essential for building performant applications. This is especially true for applications or services built by accessing data across thousands of servers to generate a user response. Current practice has been to run such services at low utilization to rein in latency outliers, which decreases efficiency and limits the number of service invocations developers can issue while still meeting tight latency budgets.In this paper, we analyze three data center applications, Memcached, OpenFlow, and Web search, to measure the effect of 1) kernel socket handling, NIC interaction, and the network stack, 2) application locks contested in the kernel, and 3) application-layer queueing due to requests being stalled behind straggler threads on tail latency. We propose Chronos, a framework to deliver predictable, low latency in data center applications. Chronos uses a combination of existing and new techniques to achieve this end, for example by supporting Memcached at 200,000 requests per second per server at mean latency of 10 μs with a 99th percentile latency of only 30 μs, a factor of 20 lower than baseline Memcached.

201 citations


Journal ArticleDOI
TL;DR: After replicating in surface epithelia, herpes simplex virus type-1 (HSV-1) enters the axonal terminals of peripheral neurons, where it establishes a specialized infection known as latency, re-emerging periodically to seed new infections.

126 citations


Journal ArticleDOI
24 Jan 2012-PLOS ONE
TL;DR: A rapid, sensitive, and quantitative primary cell model of HIV-1 latency with replication competent proviruses and multiple reporters to enhance the flexibility of the system and describe a flexible primary CD4 T-cell system to evaluate novel antagonists of HIV latency.
Abstract: Latently infected cells form the major obstacle to HIV eradication. Studies of HIV latency have been generally hindered by the lack of a robust and rapidly deployable cell model that involves primary human CD4 T lymphocytes. Latently infected cell lines have proven useful, but it is unclear how closely these proliferating cells recapitulate the conditions of viral latency in non-dividing CD4 T lymphocytes in vivo. Current primary lymphocyte models more closely reflect the in vivo state of HIV latency, but they are limited by protracted culture periods and often low cell yields. Additionally, these models are always established in a single latently infected cell type that may not reflect the heterogeneous nature of the latent reservoir. Here we describe a rapid, sensitive, and quantitative primary cell model of HIV-1 latency with replication competent proviruses and multiple reporters to enhance the flexibility of the system. In this model, post-integration HIV-1 latency can be established in all populations of CD4 T cells, and reactivation of latent provirus assessed within 7 days. The kinetics and magnitude of reactivation were evaluated after stimulation with various cytokines, small molecules, and T-cell receptor agonists. Reactivation of latent HIV proviruses was readily detected in the presence of strong activators of NF-κB. Latently infected transitional memory CD4 T cells proved more responsive to these T-cell activators than latently infected central memory cells. These findings reveal potentially important biological differences within the latently infected pool of memory CD4 T cells and describe a flexible primary CD4 T-cell system to evaluate novel antagonists of HIV latency.

123 citations


Proceedings ArticleDOI
29 Oct 2012
TL;DR: It is demonstrated that redundancy can significantly reduce latency for small but critical tasks, and it is argued that it is an effective general-purpose strategy even on devices like cell phones where bandwidth is relatively constrained.
Abstract: Low latency is critical for interactive networked applications. But while we know how to scale systems to increase capacity, reducing latency --- especially the tail of the latency distribution --- can be much more difficult.We argue that the use of redundancy in the context of the wide-area Internet is an effective way to convert a small amount of extra capacity into reduced latency. By initiating redundant operations across diverse resources and using the first result which completes, redundancy improves a system's latency even under exceptional conditions. We demonstrate that redundancy can significantly reduce latency for small but critical tasks, and argue that it is an effective general-purpose strategy even on devices like cell phones where bandwidth is relatively constrained.

111 citations


Journal ArticleDOI
TL;DR: Here, a unifying hypothesis linking stochastic viral gene desilencing during latency to ‘memory inflation’ is provided.
Abstract: Low public awareness of cytomegalovirus (CMV) results from the only mild and transient symptoms that it causes in the healthy immunocompetent host, so that primary infection usually goes unnoticed The virus is not cleared, however, but stays for the lifetime of the host in a non-infectious, replicatively dormant state known as ‘viral latency’ Medical interest in CMV results from the fact that latent virus can reactivate to cytopathogenic, tissue-destructive infection causing life-threatening end-organ disease in immunocompromised recipients of solid organ transplantation (SOT) or hematopoietic cell transplantation (HCT) It is becoming increasingly clear that CMV latency is not a static state in which the viral genome is silenced at all its genetic loci making the latent virus immunologically invisible, but rather is a dynamic state characterized by stochastic episodes of transient viral gene desilencing This gene expression can lead to the presentation of antigenic peptides encoded by ‘antigenicity-determining transcripts expressed in latency (ADTELs)’ sensed by tissue-patrolling effector-memory CD8 T cells for immune surveillance of latency [In Reddehase et al, Murine model of cytomegalovirus latency and reactivation, Current Topics in Microbiology and Immunology, vol 325 Springer, Berlin, pp 315–331, 2008] A hallmark of the CD8 T cell response to CMV is the observation that with increasing time during latency, CD8 T cells specific for certain viral epitopes increase in numbers, a phenomenon that has gained much attention in recent years and is known under the catchphrase ‘memory inflation’ Here, we provide a unifying hypothesis linking stochastic viral gene desilencing during latency to ‘memory inflation’

96 citations


01 Jan 2012
TL;DR: This paper reviews current asynchronous WSN MAC protocols, and several state-of-the-art protocols are described following the proposed taxonomy, with comprehensive discussions and comparisons with respect to their latency.
Abstract: Energy-efficiency is the main concern in most Wireless Sensor Network (WSN) applications. For this purpose, current WSN MAC (Medium Access Control) protocols use duty-cycling schemes, where they consciously switch a node's radio between active and sleep modes. However, a node needs to be aware of (or at least use some mechanism to meet) its neighbors' sleep/active schedules, since messages cannot be exchanged unless both the transmitter and the receiver are awake. Asynchronous duty-cycling schemes have the advantage over synchronous ones to eliminating the need of clock synchronization, and to be conceptually distributed and more dynamic. However, the communicating nodes are prone to spend more time waiting for the active period of each other, which inevitably influences the one-hop delay, and consequently the cumulative end-to-end delay. This paper reviews current asynchronous WSN MAC protocols. Its main contribution is to study these protocols from the delay efficiency perspective, and to investigate on their latency. The asynchronous protocols are divided into six categories: static wake-up preamble, adaptive wake-up preamble, collaborative schedule setting, collisions resolution, receiver-initiated, and anticipation-based. Several state-of-the-art protocols are described following the proposed taxonomy, with comprehensive discussions and comparisons with respect to their latency.

96 citations


Proceedings ArticleDOI
10 Jun 2012
TL;DR: A novel input generating circuit (ICG) block is presented that can generate additional input signals for merged PEs on-the-fly and shows advantages of 50% decoding latency and twice throughput over the conventional one with similar hardware cost.
Abstract: Polar codes have become one of the most favorable capacity achieving error correction codes (ECC) along with their simple encoding method. However, among the very few prior successive cancellation (SC) polar decoder designs, the required long code length makes the decoding latency high. In this paper, conventional decoding algorithm is transformed with look-ahead techniques. This reduces the decoding latency by 50%. With pipelining and parallel processing schemes, a parallel SC polar decoder is proposed. Sub-structure sharing approach is employed to design the merged processing element (PE). Moreover, inspired by the real FFT architecture, this paper presents a novel input generating circuit (ICG) block that can generate additional input signals for merged PEs on-the-fly. Gate-level analysis has demonstrated that the proposed design shows advantages of 50% decoding latency and twice throughput over the conventional one with similar hardware cost.

90 citations


Patent
14 Mar 2012
TL;DR: Latency probes are also provided for determining the latency of various servers within a network as mentioned in this paper, for example, the latency from its location to a client's location using the round trip time for sending a packet to the client to obtain the latency value for that client.
Abstract: A method for determining metrics of a content delivery and global traffic management network provides service metric probes that determine the service availability and metric measurements of types of services provided by a content delivery machine. Latency probes are also provided for determining the latency of various servers within a network. The latency probe calculates, for example, the latency from its location to a client's location using the round trip time for sending a packet to the client to obtain the latency value for that client. DNS servers use the latency test results, along with traffic weightings, to determine a server to return for a given DNS name.

81 citations


01 Jan 2012
TL;DR: A measure of the time it takes to traverse the network, including going through any intermediate routers, as well as the elapsed time between a sending node sending a packet and receiving node receiving that packet.
Abstract: 2. Latency. Latency, also known as delay, is a measure of the time it takes to traverse the network, including going through any intermediate routers. It represents the elapsed time between a sending node sending a packet and receiving node receiving that packet. For example, on my system, a local loopback (sending a packet back to the same machine) gives me a latency of 0.043 ms (milliseconds). The time to send a packet to a remote system on my LAN incurs a latency of 0.18 ms, sending a packet to Rutgers (about 30 km or 19 miles straight line distance) takes 11.6 ms, and sending a packet to IIT in Bangalore, India (about 13400 km or 8300 miles) takes 140 ms.

Proceedings ArticleDOI
22 Aug 2012
TL;DR: An FPGA IP library which implements networking, I/O, memory interfaces and financial protocol parsers is presented which provides pre-built infrastructure which accelerates the development and verification of new financial applications.
Abstract: Current High-Frequency Trading (HFT) platforms are typically implemented in software on computers with high-performance network adapters. The high and unpredictable latency of these systems has led the trading world to explore alternative "hybrid" architectures with hardware acceleration. In this paper, we survey existing solutions and describe how FPGAs are being used in electronic trading to approach the goal of zero latency. We present an FPGA IP library which implements networking, I/O, memory interfaces and financial protocol parsers. The library provides pre-built infrastructure which accelerates the development and verification of new financial applications. We have developed an example financial application using the IP library on a custom 1U FPGA appliance. The application sustains 10Gb/s Ethernet line rate with a fixed end-to-end latency of 1µs -- up to two orders of magnitude lower than comparable software implementations.

Journal ArticleDOI
TL;DR: Given the strong circumstantial evidence supporting a natural history of HPV infection which includes a immunologically controlled latent state, the longer term implications of HPV latency on a highly infected and aging population may warrant a more serious evaluation.
Abstract: At present, there is no consensus in the scientific community regarding the ability for human papillomavirus (HPV) infections to establish latency. Based on animal studies, a model of papillomavirus latency has been proposed in which papillomaviruses can be retained in the basal epithelial stem cell pool as latent infections and periodically induced to reactivate when the stem cell divides and one daughter cell is committed to terminal differentiation and induction of the viral life cycle. Tissue resident memory T-cells are hypothesized to control these periodic reactivation episodes and thus limit their duration. In this paper, evidence from human studies consistent with this model of papillomavirus latency is reviewed. Given the strong circumstantial evidence supporting a natural history of HPV infection which includes a immunologically controlled latent state, the longer term implications of HPV latency on a highly infected and aging population may warrant a more serious evaluation.

Patent
Heng Zhang1, Mehdi Khanpour1, Jun Cao1, Chang Liu1, Afshin Momtaz1 
07 Nov 2012
TL;DR: In this paper, a transceiver includes a high latency channel and a low latency communication channel that is configured to be a bypass channel for the high latency communication channels for low latency applications.
Abstract: Methods, systems, and apparatuses are described for reducing the latency in a transceiver A transceiver includes a high latency communication channel and a low latency communication channel that is configured to be a bypass channel for the high latency communication channel The low latency communication channel may be utilized when implementing the transceiver is used in low latency applications By bypassing the high latency communication channel, the high latency that is introduced therein (due to the many stages of de-serialization used to reduce the data rate for digital processing) can be avoided An increase in data rate is realized when the low latency communication channel is used to pass data A delay-locked loop (DLL) may be used to phase align the transmitter clock of the transceiver with the receiver clock of the transceiver to compensate for a limited tolerance of phase offset between these clocks

Patent
18 May 2012
TL;DR: In this paper, a method for identifying a foreground flow that has a higher priority than at least one background traffic flow in a network is presented. But the method is limited to a single traffic flow.
Abstract: A method is provided in one example embodiment that includes identifying a foreground flow that has a higher priority than at least one background traffic flow in a network. The method also includes determining (e.g., periodically) a latency metric associated with the foreground flow, and activating a latency reduction response if the latency metric exceeds a threshold. In more particular embodiments, the latency reduction response may include diverting background flows in the network to a buffer for a delay period and/or delaying acknowledgements for background flows until the latency metric is below the threshold. In yet more particular embodiments, the latency metric may be a round-trip time.

Journal ArticleDOI
TL;DR: It is proved that no acknowledgment-based protocol can be stable for injection rates larger than 3 1 + lg n, and the impossibility to achieve just stability by restricted protocols is proved.
Abstract: We study deterministic broadcasting on multiple access channels when packets are injected continuously. The quality of service is considered in the framework of adversarial queuing. An adversary is determined by injection rate and burstiness, the latter denoting the number of packets that can be injected simultaneously in a round. We consider only injection rates that are less than 1. A protocol is stable when the numbers of packets in queues stay bounded at all rounds, and it is of fair latency when waiting times of packets in queues are O(burstiness/rate). For channels with collision detection, we give a full-sensing protocol of fair latency for injection rates that are at most 1 2(⌈lg n⌉ + 1), where n is the number of stations, and show that fair latency is impossible to achieve for injection rates that are ω(1 log n). For channels without collision detection, we present a full-sensing protocol of fair latency for injection rates that are at most 1 c lg2n, for some c > 0. We show that there exists an acknowledgment-based protocol that has fair latency for injection rates that are at most 1 cn lg2n, for some c > 0, and develop an explicit acknowledgment-based protocol of fair latency for injection rates that are at most 1 27n2 ln n. Regarding impossibility to achieve just stability by restricted protocols, we prove that no acknowledgment-based protocol can be stable for injection rates larger than 3 1 + lg n.

Journal ArticleDOI
TL;DR: The study suggests that the reliability of the latency–confidence association in problem solving depends on the strength of the inverse relationship between latency and accuracy in the particular task.
Abstract: Confidence in answers is known to be sensitive to the fluency with which answers come to mind. One aspect of fluency is response latency. Latency is often a valid cue for accuracy, showing an inverse relationship with both accuracy rates and confidence. The present study examined the independent latency–confidence association in problem-solving tasks. The tasks were ecologically valid situations in which latency showed no validity, moderate validity, and high validity as a predictor of accuracy. In Experiment 1, misleading problems, which often elicit initial wrong solutions, were answered in open-ended and multiple-choice test formats. Under the open-ended test format, latency was absolutely not valid in predicting accuracy: Quickly and slowly provided solutions had a similar chance of being correct. Under the multiple-choice test format, latency predicted accuracy better. In Experiment 2, nonmisleading problems were used; here, latency was highly valid in predicting accuracy. A breakdown into correct and incorrect solutions allowed examination of the independent latency–confidence relationship when latency necessarily had no validity in predicting accuracy. In all conditions, regardless of latency’s validity in predicting accuracy, confidence was persistently sensitive to latency: The participants were more confident in solutions provided quickly than in those that involved lengthy thinking. The study suggests that the reliability of the latency–confidence association in problem solving depends on the strength of the inverse relationship between latency and accuracy in the particular task.

Journal ArticleDOI
TL;DR: The first estimates of latency and infectious period for influenza based directly on viral excretion data are provided, providing additional evidence that isolation or treatment of cases would be effective only if adopted shortly after symptoms onset, and that four days of isolation may be enough to avoid most transmissions.

Patent
10 Aug 2012
TL;DR: In this paper, the authors measure a resident delay for each port in a node in a network and a peer delay between each pair of neighbor nodes in the network and determine the latency between neighbor nodes.
Abstract: A method measures a resident delay for each port in a node in a network and a peer delay between each pair of neighbor nodes in the network. From these resident delays and peer delays, latency between each pair of neighbor nodes in the network is determined. The method includes weighting a route for a data packet going through the nodes in the network using the determined latencies. Each node includes a switch having switchable connections and is configured by a controller to send probe packets from an output port to a port in a neighbor node. The packet may include a time stamp and an identifier.

Proceedings ArticleDOI
20 Sep 2012
TL;DR: This paper presents an efficient routing algorithm for 3D-NoC named Look-Ahead- XYZ (LA-XYZ), which aims to minimize the communication latency and power consumption while enhancing the system throughput.
Abstract: Despite the higher scalability and parallelism integration offered by 2D-Network-on-Chip (NoC) over the traditional shared-bus based systems, it is still not an ideal solution for future large scale Systems-on-Chip (SoCs). Recently, merging NoC to the third dimension (3D-NoC) has been proposed as a promising solution offering lower power consumption and higher speed. One of the most important design choices for 3D-NoC implementation is the routing algorithm, as it controls the path decision that a flit has tofollow while traveling along the network. This has a direct impact on the overall system performance. In this paper, we present an efficient routing algorithm for 3D-NoC named Look-Ahead-XYZ (LA-XYZ). This algorithm aims to minimize the communication latency and power consumption while enhancing the system throughput. Comparison results with systems adopting two dimensional routing showed that, using JPEG encoder and Matrix applications, LA-XYZ reduces the communication latency with up to 44.9% and enhances the throughput that can reach the 45.3% while observing an average 15.9% reduction in terms of dynamic power.

Patent
03 Feb 2012
TL;DR: In this paper, a method and technique for allocation and balancing of storage resources includes determining, for each of a plurality of storage controllers, an input/output (I/O) latency value based on an I/O latency associated with each storage volume controlled by a respective storage controller; determining network bandwidth utilization and network latency values corresponding to each storage controller.
Abstract: A method and technique for allocation and balancing of storage resources includes: determining, for each of a plurality of storage controllers, an input/output (I/O) latency value based on an I/O latency associated with each storage volume controlled by a respective storage controller; determining network bandwidth utilization and network latency values corresponding to each storage controller; responsive to receiving a request to allocate a new storage volume, selecting a storage controller having a desired I/O latency value; determining whether the network bandwidth utilization and network latency values for the selected storage controller are below respective network bandwidth utilization and network latency value thresholds; and responsive to determining that the network bandwidth utilization and network latency values for the selected storage controller are below the respective thresholds, allocating the new storage volume to the selected storage controller.

Journal ArticleDOI
TL;DR: P predictive compensation can be effectively implemented to reduce apparent latency, resulting in a lower magnitude of simulator sickness, and is critical to functionality and performance.
Abstract: Objective: The aim of this study was to determine the effect of head movement frequency and predictive compensation on (a) latency produced in a monocular see-through helmet-mounted display (HMD) t...

Patent
07 Dec 2012
TL;DR: In this article, the authors propose a method and apparatus for supporting at least two applications in a multicarrier communication system having a plurality of subchannels, which includes associating at least a first application in a set of currently active applications with a first latency path, and in response to a change in the set of current active applications, allocating the at least one subchannel to a second latency path associated with a second application.
Abstract: In a multicarrier communication system having a plurality of subchannels, a method and apparatus for supporting at least two applications. For example, the method includes associating at least a first application in a set of currently active applications with a first latency path, allocating at least one subchannel to the first latency path, and in response to a change in the set of currently active applications, allocating the at least one subchannel to a second latency path associated with a second application in the set of currently active applications and different from the first latency path.

Proceedings ArticleDOI
12 Nov 2012
TL;DR: This work studies the differences in the latencies of error potentials across three experiments with increasing cognitive workloads and showed that latency variations exist between different protocols, and that it is feasible to re-use data from previous experiments to calibrate a classifier able to detect the signals of a new experiment, thus reducing the calibration time.
Abstract: One fundamental limitation of EEG-based brain-computer interfaces is the time needed to calibrate the system prior to the detection of signals, due to the wide variety of issues affecting the EEG measurements. For event-related potentials (ERP), one of these sources of variability is the application performed: Protocols with different cognitive workloads might yield to different latencies of the ERPs. In this sense, it is still not clear the effect that these latency variations have on the single-trial classification. This work studies the differences in the latencies of error potentials across three experiments with increasing cognitive workloads. A delay-correction algorithm based on the cross-correlation of the averaged signals is presented, and tested with a single-trial classification of the signals. The results showed that latency variations exist between different protocols, and that it is feasible to re-use data from previous experiments to calibrate a classifier able to detect the signals of a new experiment, thus reducing the calibration time.

Journal ArticleDOI
TL;DR: The SCG-based HSV-1 latency / reactivation system provides a powerful, necessary tool to unravel the molecular mechanisms controlling HSV1 latency and reactivation in neurons, a long standing puzzle in virology whose solution may offer fresh insights into developing new therapies that target the latent herpesvirus reservoir.
Abstract: Herpes simplex virus type-1 (HSV-1) establishes a life-long latent infection in peripheral neurons. This latent reservoir is the source of recurrent reactivation events that ensure transmission and contribute to clinical disease. Current antivirals do not impact the latent reservoir and there are no vaccines. While the molecular details of lytic replication are well-characterized, mechanisms controlling latency in neurons remain elusive. Our present understanding of latency is derived from in vivo studies using small animal models, which have been indispensable for defining viral gene requirements and the role of immune responses. However, it is impossible to distinguish specific effects on the virus-neuron relationship from more general consequences of infection mediated by immune or non-neuronal support cells in live animals. In addition, animal experimentation is costly, time-consuming, and limited in terms of available options for manipulating host processes. To overcome these limitations, a neuron-only system is desperately needed that reproduces the in vivo characteristics of latency and reactivation but offers the benefits of tissue culture in terms of homogeneity and accessibility. Here we present an in vitro model utilizing cultured primary sympathetic neurons from rat superior cervical ganglia (SCG) (Figure 1) to study HSV-1 latency and reactivation that fits most if not all of the desired criteria. After eliminating non-neuronal cells, near-homogeneous TrkA+ neuron cultures are infected with HSV-1 in the presence of acyclovir (ACV) to suppress lytic replication. Following ACV removal, non-productive HSV-1 infections that faithfully exhibit accepted hallmarks of latency are efficiently established. Notably, lytic mRNAs, proteins, and infectious virus become undetectable, even in the absence of selection, but latency-associated transcript (LAT) expression persists in neuronal nuclei. Viral genomes are maintained at an average copy number of 25 per neuron and can be induced to productively replicate by interfering with PI3-Kinase / Akt signaling or the simple withdrawal of nerve growth factor1. A recombinant HSV-1 encoding EGFP fused to the viral lytic protein Us11 provides a functional, real-time marker for replication resulting from reactivation that is readily quantified. In addition to chemical treatments, genetic methodologies such as RNA-interference or gene delivery via lentiviral vectors can be successfully applied to the system permitting mechanistic studies that are very difficult, if not impossible, in animals. In summary, the SCG-based HSV-1 latency / reactivation system provides a powerful, necessary tool to unravel the molecular mechanisms controlling HSV1 latency and reactivation in neurons, a long standing puzzle in virology whose solution may offer fresh insights into developing new therapies that target the latent herpesvirus reservoir.

Journal ArticleDOI
TL;DR: Feline herpesvirus 1 (FeHV-1) is an alphaherpesvirus that causes feline viral rhinotracheitis, an important viral disease of cats on a worldwide basis and is a model system for defining innate and adaptive immunity mechanisms and for immunization strategies that can lead to better protection against this and other alphaherpevirus infections.
Abstract: Feline herpesvirus 1 (FeHV-1) is an alphaherpesvirus that causes feline viral rhinotracheitis, an important viral disease of cats on a worldwide basis. Acute FeHV-1 infection is associated with both upper respiratory and ocular signs. Following the acute phase of the disease lifelong latency is established, primarily in sensory neuronal cells. As is the case with human herpes simplex viruses, latency reactivation can result in recrudescence, which can manifest itself in the form of serious ocular lesions. FeHV-1 infection in cats is a natural host model that is useful for the identification of viral virulence genes that play a role in replication at the mucosal portals of entry or are mediators of the establishment, maintenance, or reactivation of latency. It is also a model system for defining innate and adaptive immunity mechanisms and for immunization strategies that can lead to better protection against this and other alphaherpesvirus infections.

Proceedings ArticleDOI
01 Dec 2012
TL;DR: This work proposes two network prioritization schemes that can cooperatively improve performance by reducing end-to-end memory access latencies in Network-on-Chip (NoC) based multicores and prioritizes the request messages that are destined for idle memory banks over others, which lead to uniform memory access Latencies with a low average value.
Abstract: To achieve high performance in emerging multicores, it is crucial to reduce the number of memory accesses that suffer from very high latencies. However, this should be done with care as improving latency of an access can worsen the latency of another as a result of resource sharing. Therefore, the goal should be to balance latencies of memory accesses issued by an application in an execution phase, while ensuring a low average latency value. Targeting Network-on-Chip (NoC) based multicores, we propose two network prioritization schemes that can cooperatively improve performance by reducing end-to-end memory access latencies. Our first scheme prioritizes memory response messages such that, in a given period of time, messages of an application that experience higher latencies than the average message latency for that application are expedited and a more uniform memory latency pattern is achieved. Our second scheme prioritizes the request messages that are destined for idle memory banks over others, with the goal of improving bank utilization and preventing long queues from being built in front of the memory banks. These two network prioritization-based optimizations together lead to uniform memory access latencies with a low average value. Our experiments with a 4x8 mesh network-based multicore show that, when applied together, our schemes can achieve 15%, 10% and 13% performance improvement on memory intensive, memory non-intensive, and mixed multiprogrammed workloads, respectively.

Journal ArticleDOI
TL;DR: This letter presents a technique to assess the overall network performance of sampled value process buses based on IEC 61850-9-2 using measurements from a single location in the network using the use of Ethernet cards with externally synchronized time stamping.
Abstract: This letter presents a technique to assess the overall network performance of sampled value process buses based on IEC 61850-9-2 using measurements from a single location in the network. The method is based upon the use of Ethernet cards with externally synchronized time stamping, and characteristics of the process bus protocol. The application and utility of the method is demonstrated by measuring latency introduced by Ethernet switches. Network latency can be measured from a single set of captures, rather than comparing source and destination captures. Absolute latency measures will greatly assist the design testing, commissioning and maintenance of these critical data networks.

Journal ArticleDOI
TL;DR: This is the first work to diagnose latency problems in a large, operational CDN from purely passive measurements, and identifies research challenges for managing wide-area latency for CDNs.
Abstract: Minimizing user-perceived latency is crucial for Content Distribution Networks (CDNs) hosting interactive services. Latency may increase for many reasons, such as interdomain routing changes and the CDN's own load-balancing policies. CDNs need greater visibility into the causes of latency increases, so they can adapt by directing traffic to different servers or paths. In this paper, we propose a tool for CDNs to diagnose large latency increases, based on passive measurements of performance, traffic, and routing. Separating the many causes from the effects is challenging. We propose a decision tree for classifying latency changes, and determine how to distinguish traffic shifts from increases in latency for existing servers, routers, and paths. Another challenge is that network operators group related clients to reduce measurement and control overhead, but the clients in a region may use multiple servers and paths during a measurement interval. We propose metrics that quantify the latency contributions across sets of servers and routers. Based on the design, we implement the LatLong tool for diagnosing large latency increases for CDN. We use LatLong to analyze a month of data from Google's CDN, and find that nearly 1% of the daily latency changes increase delay by more than 100 msec. Note that the latency increase of 100 msec is significant, since these are daily averages over groups of clients, and we only focus on latency-sensitive traffic for our study. More than 40% of these increases coincide with interdomain routing changes, and more than one-third involve a shift in traffic to different servers. This is the first work to diagnose latency problems in a large, operational CDN from purely passive measurements. Through case studies of individual events, we identify research challenges for managing wide-area latency for CDNs.

Journal ArticleDOI
TL;DR: Establishment of persistent Epstein-Barr virus infection requires transition from a program of full viral latency gene expression to one that is highly restricted within memory B lymphocytes, and elevated levels of DNA methyltransferase 1 (DNMT1) and DNMT3B associated with latency I are noted, suggesting that CTCF contributes to but is not necessarily essential for the establishment of restricted latency.
Abstract: Establishment of persistent Epstein-Barr virus (EBV) infection requires transition from a program of full viral latency gene expression (latency III) to one that is highly restricted (latency I and 0) within memory B lymphocytes. It is well established that DNA methylation plays a critical role in EBV gene silencing, and recently the chromatin boundary protein CTCF has been implicated as a pivotal regulator of latency via its binding to several loci within the EBV genome. One notable site is upstream of the common EBNA gene promoter Cp, at which CTCF may act as an enhancer-blocking factor to initiate and maintain silencing of EBNA gene transcription. It was previously suggested that increased expression of CTCF may underlie its potential to promote restricted latency, and here we also noted elevated levels of DNA methyltransferase 1 (DNMT1) and DNMT3B associated with latency I. Within B-cell lines that maintain latency I, however, stable knockdown of CTCF, DNMT1, or DNMT3B or of DNMT1 and DNMT3B in combination did not result in activation of latency III protein expression or EBNA gene transcription, nor did knockdown of DNMTs significantly alter CpG methylation within Cp. Thus, differential expression of CTCF and DNMT1 and -3B is not critical for maintenance of restricted latency. Finally, mutant EBV lacking the Cp CTCF binding site exhibited sustained Cp activity relative to wild-type EBV in a recently developed B-cell superinfection model but ultimately was able to transition to latency I, suggesting that CTCF contributes to but is not necessarily essential for the establishment of restricted latency.