scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 1983"


Patent
28 Oct 1983
TL;DR: In this paper, a reactive ion etching method is used to remove the horizontal regions of polymeric structures from a polymeric layer with horizontal and substantially vertical surfaces, with only the narrow regions of the polymeric structure remaining.
Abstract: Following the method of making structures with dimensions in the submicrometer range, structures of a polymeric layer with horizontal and substantially vertical surfaces are first made on a substrate. Thereupon, a silicon nitride or oxide layer is plasma deposited. This layer is subjected to reactive ion etching methods in such a manner that its horizontal regions and the polymeric structures are removed, with merely the narrow regions of the silicon nitride or oxide layer that had originally been arranged adjacent the vertical surfaces of the polymeric structures remaining. In the case of positive lithography, the silicon nitride or oxide walls are converted into a mask with the same dimensions but consisting of a different mask material. In the case of negative lithography the silicon nitride or oxide walls are converted in a mask reversal process into openings in a mask material layer through which by means of reactive ion etching vertical trenches approximately 0.5 μm deep can be etched in the silicon substrate. The trenches are filled by thermal oxidation or with a synthetic material as e.g. polyimide. The method as disclosed by the invention can also be applied to other processes than recessed isolation in semiconductor technology.

183 citations


Journal ArticleDOI
TL;DR: In this article, a model which describes limitations of a manufacturing yield in terms of an IC artwork and a lithography characterisation is proposed, where density and distribution of diameters of defects present in the mask, as well as line width fluctuations, are taken into account.
Abstract: In the letter a model which describes limitations of a manufacturing yield in terms of an IC artwork and a lithography characterisation is proposed. Density and distribution of diameters of defects present in the mask, as well as line-width fluctuations, are taken into account.

176 citations


Journal ArticleDOI
TL;DR: In this article, a single layer of polymethylmethacrylate (PMMA) was exposed by an approximately 2-nm−diam electron beam with energies ranging from 20 to 120 keV.
Abstract: Metal features with 10‐nm linewidths were produced on thick GaAs substrates using electron beam lithography. A single layer of polymethylmethacrylate (PMMA) was exposed by an approximately 2‐nm‐diam electron beam with energies ranging from 20 to 120 keV. Gold‐palladium lines less than 20 nm wide, and 15 nm thick, with center‐to‐center spacings of 70 nm, were produced over 15‐μm square fields at all electron beam energies by lift off. The exposure latitude increased significantly for higher electron energies, with 10‐nm‐wide metal lines formed using a 120‐keV writing beam.

136 citations


Journal ArticleDOI
TL;DR: In this paper, the reverse tone of the required pattern with a beam diameter dc=2σb ×(1+ηe)−1/4 and dose Qc=Qe ×[ηb/(1+b+e)], where σb is the radius of the Gaussian spatial distribution function of backscattered electrons at normally exposed pixels, and Qe is the dose delivered to normal exposed pixels.
Abstract: Compensation for the proximity effect in electron lithography can be achieved by equalization of the backscattered dose received by all pattern points. This is accomplished by exposing the reverse tone of the required pattern with a beam diameter dc=2σb ×(1+ηe)−1/4 and dose Qc=Qe ×[ηe/(1+ηe)], where σb is the radius of the Gaussian spatial distribution function of backscattered electrons at normally exposed pixels, ηe is the ratio of backscattered to forwardscattered energy, and Qe is the dose delivered to normally exposed pixels. This correction method has been confirmed to work for 500‐nm features by computer simulation of electron beam exposure and development and by experiment on a raster scan electron beam lithography system.

126 citations


Journal ArticleDOI
TL;DR: In this article, a single layer of photoresist was used to attenuate the standing wave and record the grating pattern, which is used for further processing steps such as liftoff and etching.
Abstract: Gratings with periods as fine as 199 nm and height‐to‐width ratios ∼5:1 have been produced directly in photoresist by holographic lithography using a technique that reduces ‘‘orthogonal standing wave’’ problems. The technique uses a single layer of photoresist to attenuate the ‘‘orthogonal standing wave,’’ as well as record the grating pattern. The technique is tolerant of process variations and produces structures suitable for further processing steps such as liftoff and etching.

78 citations


Patent
03 Aug 1983
TL;DR: In this paper, an optical system for determining and reproducing spatial separation of features in the range of 80 to 2500Å for optical microscopy and lithography using visible light, the system being independent of the wavelength of the incident light.
Abstract: An optical system for determining and reproducing spatial separation of features in the range of 80Å to 2500Å for optical microscopy and lithography using visible light, the system being independent of the wavelength of the incident light. An aperture mask is provided having at least one aperture of between about 80Å and 2500Å diameter. The mask may be used in optical microscopy to view objects with a high degree of resolution by placing the mask within the near field of light emanating from a sample to be viewed. The mask may also be used for high resolution optical lithography by placing a resist material within the near field of light passing through the mask.

76 citations


Journal ArticleDOI
Alfred Wagner1
TL;DR: A finely focused ion beam system is described in this paper, which can be used for microscopy, mask repair, and ion beam lithography, and three applications are discussed: (1) scanning ion microscopy and (2) mask repair.

58 citations


Book ChapterDOI
03 May 1983

58 citations


Journal ArticleDOI
TL;DR: In this paper, a single target shot of a frequency-tripled Nd:glass laser (λ=0.35 μm, 35 J in 1 ns) was found to be sufficient for submicron x-ray lithography in poly(butene‐1‐sulfone) (PBS) or poly(glycidyl‐methacrylate‐ethyl acrylate) (COP) resists.
Abstract: X‐ray lithography was studied, using laser‐produced plasma as a source. A single target shot of a frequency‐tripled Nd:glass laser (λ=0.35 μm, 35 J in 1 ns) was found to be sufficient for submicron x‐ray lithography in poly(butene‐1‐sulfone) (PBS) or poly(glycidyl‐methacrylate‐ethyl acrylate) (COP) resists. The incident x‐ray flux is about an order of a magnitude smaller than that normally required. This behavior could be the result of the transient character of the exposure and an abrupt rise in the resist temperature.

50 citations


Journal ArticleDOI
TL;DR: In this article, a high resolution masked ion beam lithography (MIBL) is demonstrated at large mask-to-sample gaps using two new types of membrane stencil masks.
Abstract: High resolution masked ion beam lithography (MIBL) is demonstrated at large mask‐to‐sample gaps using two new types of membrane stencil masks. Single layer Si‐rich silicon nitride (SiN) membranes and Si3N4‐SiO2‐Si3N4 (N‐O‐N) sandwich structure membranes are deposited by processes which allow the stress in the films to be adjusted. Transmission holes are reactive‐ion etched entirely through the membranes. This type of stencil mask virtually eliminates mask‐induced scattering. Lines and spaces of 160 nm have been exposed in 0.5‐μm polymethylmethacrylate (PMMA) at gaps as large as 275 μm, using 100‐keV protons. Some of the stencil mask limitations are overcome by multiple exposures. The results suggest that MIBL can be an extremely high resolution proximity printing technique.

43 citations


Journal ArticleDOI
David C. Joy1
TL;DR: In this article, a Monte Carlo simulation was used to model two special situations: a thin, freestanding, resist and a thin resist on a bulk substrate to calculate the exposure conditions required for the highest resolution lithography in this condition.

Journal ArticleDOI
TL;DR: In this paper, the authors investigate the possibility to extend optical lithography well into the submicron regime, given a multilayer resist system that confines imaging to a thin layer, and that eliminates reflection from the substrate.
Abstract: It may be possible to extend optical lithography well into the submicron regime, given a multilayer resist system that confines imaging to a thin layer, and that eliminates reflection from the substrate. The necessary exposure and focus tolerances are determined by simulating the projection of partially coherent, diffraction‐limited images onto an ideal multilayer resist system. Exposure–defocus diagrams are then generated by requiring that critical dimensions satisfy a ±10% tolerance. We investigate the prospects for submicron lithography with 0.75 and 0.5 μm minimum features. Two lens systems are analyzed; a commercially available 0.28 NA lens operating at 436 nm, and an advanced 0.35 NA lens operating at 365 nm. The features studied include contact holes, rectangular lines and spaces, and equal line space gratings, and are representative of many typical IC mask patterns. Linewidth tailoring or biasing allows these features to be printed within tolerance simultaneously if the exposure can be controlled ...

Journal ArticleDOI
TL;DR: In this article, the general characteristics and applications of ion bombardment of resists are described and the applications of conventional ion beam lithography to range measurements in polymers and microstructure fabrication are presented.

Patent
31 May 1983
TL;DR: In this paper, an aluminum support composed by the aluminum alloy can be chemically etched with an acid and/or an alkali solution and after undergoing etching the surface of the support is uniformly etched.
Abstract: An aluminum alloy, a lithographic printing plate support, a lithographic printing plate using the aluminum alloy are disclosed. The aluminum alloy is comprised of aluminum containing 0.20 to 1.0% Fe and 0.005 to 0.1% of elements selected from the group consisting of Sn, In, Ga and Zn. The support composed by the aluminum alloy can be chemically etched with an acid and/or an alkali solution and after undergoing etching the surface of the support is uniformly etched. The uniformly etched surface may be provided with a subbing layer or an anode oxidation film. The support is coated with a light-sensitive layer and utilized as a lithographic printing plate.

Journal ArticleDOI
TL;DR: The fabrication of microstructures with minimum dimensions below 100 nm introduces several additional problems not normally associated with electron-beam lithography at the 1/2 to 1 μm level as discussed by the authors.
Abstract: The fabrication of microstructures with minimum dimensions below 100 nm introduces several additional problems not normally associated with electron‐beam lithography at the 1/2 to 1 μm level. The quality of a 100 nm resist image, when defined by an electron beam pattern generator, depends strongly upon the exposure conditions such as beam energy and dose. Another important factor is the substrate, since it greatly influences the range and amount of backscattered electrons and, hence, the exposure contrast achievable in a given resist system. There is generally a big difference in energy deposited in the resist whether the exposure is performed on a thin membrane, on bulk silicon, or on a thick layer of a heavy metal, and also whether a single thin layer of resist or thick two or three‐layer systems are used. The characteristics of the resist and the development process itself are important aspects, too. In addition to controlling the resist image, one must also consider the properties and requirements of the subsequent transfer process to the working material such as lift‐off, plating, ion milling, and reactive ion etching. The specific process is often dictated by the materials and the geometry of the particular structure to be fabricated. So, as many of the conditions interact, it is impossible to define a single process that would be suitable for the wide range of applications that structures of this size are intended for. Using examples of x‐ray zone plates and FET gate definition, we discuss the merits of some of the processes used together with the techniques and problems associated with the evaluation of process performance at these dimensions.

Proceedings ArticleDOI
01 Jan 1983
TL;DR: In this article, a LO/HI/LO resist system has been developed to produce sub-half-micron T-shaped cross-section metal lines using electron-beam lithography.
Abstract: A LO/HI/LO resist system has been developed to produce sub-half-micron T-shaped cross-section metal lines using electron-beam lithography. The system provides T-shaped resist cavities with guaranteed undercut profiles. T-shaped metal lines as narrow as 0.15µm have been produced. GaAs FETs with 0.25µm T-shaped gates have also been fabricated using this resist system. Measured end-to-end 0.25µm gate resistance was 100ω/mm gate width. At 18GHz, a maximum stable gain of 13.8dB and a minimum noise figure of 2.0dB were measured. The 0.25µm T-gate FETs have a cutoff frequency f_{T} \sim 50 GHz.

Journal ArticleDOI
TL;DR: In this article, a beam line for x-ray lithography using synchrotron radiation (SR) has been built at the VUV storage ring of the NSL and is now in operation.
Abstract: A beam line for x‐ray lithography using synchrotron radiation (SR) has been built at the VUV storage ring of the National Synchrotron Light Source and is now in operation. The high intensity and good collimation of SR overcome the problems of low throughput and resolution‐limiting penumbra associated with using conventional x‐ray sources. The design of a beam line for SR has to deal with two problems. First, the beam line must meet the ultrahigh vacuum standards of the storage ring, and second, the emitted radiation is horizontally uniform but very nonuniform vertically. The beam line optics consist of an oscillating cylindrical mirror that scans the reflected light vertically over the exposure area and collimates the incident radiation horizontally, thus increasing the intensity by a factor of 3. We will describe the vacuum system, the beryllium window that separates the exposure chamber from the beam line, and the vacuum protection of the ring. We will show the measured uniformity of illumination. The e...

Journal ArticleDOI
TL;DR: In this paper, a grid support mask is proposed as a means of exposing arbitrary patterns with a stencil mask, which is demonstrated in the special case of a grating, and the principle of this technique is demonstrated for the case of grating.
Abstract: Masked ion beam lithography using silicon nitride stencil masks at a 25 μm mask‐to‐sample gap has been used to replicate 80 nm lines and spaces in PMMA. An improved reactive ion etching technique for the silicon‐rich silicon nitride (SiNx) mask material using CHF3 at a 500 V self‐bias potential is reported. A grid support mask is proposed as a means of exposing arbitrary patterns with a stencil mask. The principle of this technique is demonstrated in the special case of a grating.

Journal ArticleDOI
TL;DR: In this article, a multiple-beam approach was investigated, where an array of beams are focused and scanned by a common system but the individual blanking is accomplished using an assembly fabricated with silicon micromachining technology and shadow mask evaporation of blanking electrodes 50 μm wide, 400 μm deep.
Abstract: Slow throughput is the major problem of scanning beam lithography. We have investigated a multiple beam approach in which an array of beams is focused and scanned by a common system but the beams are blanked independently. The individual blanking is accomplished using an assembly fabricated with silicon micromachining technology and shadow mask evaporation of blanking electrodes 50 μm wide, 400 μm deep. Analysis and experimental evaluation both indicate that blanking can be achieved with this configuration. Choosing an optimum design involves trade offs between ease of illumination, ease of fabrication, positional control, and space charge blurring. However, extension to 16 or more beams looks quite possible.

Patent
07 Oct 1983
TL;DR: The use of an ink composition containing an organopolysiloxane having at least one hydrophilic group can bring about a lithographic printing using a dampening solution as the non-image area is hardly stained, the image area is given a sufficient density, emulsification hardly occurs and tolerance for change of printing conditions is high as discussed by the authors.
Abstract: Use of an ink composition containing an organopolysiloxane having at least one hydrophilic group can bring about such a lithographic printing using a dampening solution as the non-image area is hardly stained, the image area is given a sufficient density, emulsification hardly occurs and tolerance for change of printing conditions is high.

Journal ArticleDOI
M.P. Lepselter1, D.S. Alles, H.J. Levinstein, G.E. Smith, H.A. Watson 
01 May 1983
TL;DR: In this article, groups from diverse disciplines at Bell Labs were brought together for the first time, with the goal of applying a systems approach to high-performance NMOS technology, and they found a symbiotic relationship that has produced not only NMOS devices with unparalleled performance, but also improved materials, processes, and tools.
Abstract: In 1977, groups from diverse disciplines at Bell Labs were brought together for the first time, with the goal of applying a systems approach to high-performance NMOS technology. These groups, namely, solid-state physics, device design, materials, processing, and lithography found a symbiotic relationship that has produced not only NMOS devices with unparalleled performance, but also improved materials, processes, and tools-many of which are in production today.

Patent
15 Jul 1983
TL;DR: In this article, an X-ray absorber layer in the form of single layer of high melting point metal such as Ta, W is formed with granular crystal grains on a mask substrate, so that an internal stress of the layer is reduced.
Abstract: An X-ray absorber layer in the form of single layer of high melting point metal such as Ta, W is formed with granular crystal grains on a mask substrate, so that an internal stress of the layer is reduced. A fine pattern is formed from the absorber layer by reactive sputter etching using CBrF3 gas as an etchant, so that an X-ray absorber pattern is formed on the mask substrate. The X-ray lithography mask thus fabricated has a fine pattern such as submicron pattern with a high degree of pattern contrast and a high dimensional accuracy.

Journal ArticleDOI
TL;DR: In this paper, the insertion loss of a 2-μm-wide monomode guide with a radius of 300 μm was measured for a GaAs/GaAlAs with a minimum combined bending and scattering loss of 0.6 dB/rad.
Abstract: Small-radii curved optical waveguides have been fabricated in GaAs/GaAlAs using electron-beam lithography. Ion-beam milling was used as an isotropic etch. The insertion loss of these devices was limited not by bending loss but by input coupling and scattering loss from rib-wall imperfections. A minimum combined bending and scattering loss of 0.6 dB/rad was measured for a 2-μm-wide monomode guide with a radius of 300 μm.

Journal ArticleDOI
TL;DR: In this paper, the first use of an F2 excimer laser and a mask technology for high resolution photolithography at 157 nm was reported, which is the deepest VUV radiation available with high energy flux from a readily obtained commercial laser.
Abstract: We report the first use of an F2 excimer laser and a novel mask technology for high resolution photolithography at 157 nm. With a contact lithography technique resist lines as narrow as 0.15 μm have been made. Because of the short wavelength involved, conventional mask technology using quartz substrates could not be employed. Alkaline‐earth halide substrates (e.g., CaF2) that have high transmittance at 157 nm were used as a base for the mask production. Resolution test masks were prepared using e‐beam lithography and reactive ion etching to pattern a polyimide film on the substrates. The development of lithographic techniques at this wavelength is significant since the 157 nm radiation is currently the deepest VUV radiation available with high energy flux from a readily obtained commercial laser.

Journal ArticleDOI
TL;DR: In this paper, the advantage of high voltage electron beam lithography in submicron VLSI fabrication is outlined, and the authors show that EB systems with small deflection width are suited to high voltage EB machines.

Journal ArticleDOI
TL;DR: In this article, an evaluation of known attempts at the realization of a dry lithography process is made and the shortcomings and causes of failure of all-dry lithography processes are pointed out.

Patent
W. Derek Buckley1
27 Jul 1983
TL;DR: In this paper, an apparatus for effecting alignment and spacing control of a mask and wafer for use in x-ray lithography is described. But it is not suitable for the use of x-rays.
Abstract: This invention relates to apparatus for effecting alignment and spacing control of a mask and wafer for use, for example, in x-ray lithography, which includes, in combination, two optical channels for effecting lateral and vertical alignment at two spaced alignment targets respectively on the element, two spaced position sensors located on a line which is oblique with respect to a line joining the two alignment targets, and linkage for maintaining the distances between the position sensors and the element equal one to the other.

Journal ArticleDOI
TL;DR: In this article, a new silicone-based negative resist (SNR) was proposed for a high resolution double layer resist system, which shows high sensitivity to an e-beam, D0.5 µC/cm2, with high contrast γ=2, and excellent resistance to reactive ion etching under oxygen gas.
Abstract: We propose a new silicone based negative resist (SNR) for a high resolution double layer resist system. SNR shows high sensitivity to an e-beam, D0.5=5 µC/cm2, with high contrast γ=2, and excellent resistance to reactive ion etching under oxygen gas. A submicron pattern with a high aspect ratio can be easily fabricated with the SNR/AZ double layer resist system. It is also shown that submicron lithography can be accomplished on a substrate with topographic features.

Journal ArticleDOI
TL;DR: In this article, the design, synthesis, formulation, and process optimization of a new mid-UV resist is described, based on semi-empirical quantum mechanical calculations that predict the effect of structural changes on optical absorption characteristics.
Abstract: The design, synthesis, formulation, and process optimization of a new mid-UV resist are described. The synthesis of a spectrally matched sensitizer was guided by semiempirical quantum mechanical calculations that predict the effect of structural changes on optical absorption characteristics. The formulation was guided by computer profile simulation studies and the process development by a response surface analytical procedure. These techniques allowed formulation optimization to be achieved on the basis of an understanding of the complex interactions between the resist dissolution response functions and the modulation transfer function of the exposure tool for which the resist was designed.

Journal ArticleDOI
TL;DR: In this paper, the authors examined the application of image processing methods to the proximity correction problem and found that, while the match between these disciplines is not perfect, the idea appears quite promising.
Abstract: A limitation on the quality of electron beam lithography is the proximity effect. This produces exposure of the resist at locations remote from the point of incidence of the electron beam. One of the techniques used to mitigate this problem is to precompensate the applied beam dose. Traditional approaches to this problem have required extensive calculations which occasionally fail to produce satisfactory results. However, the proximity correction problem is quite similar to the edge enhancement problem which arises in pattern recognition. Furthermore, the issue of data base compaction for the precompensated lithography is quite similar to bandwidth compression in image transmission. In this paper, we examine the application of image processing methods to the proximity correction problem. We find that, while the match between these disciplines is not perfect, the idea appears quite promising.