scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 1993"


Journal ArticleDOI
TL;DR: In this paper, a 65 nm thick layer of polymethylmethacrylate (PMMA) resist was exposed with an 80 kV electron beam of diameter smaller than 5 nm, and the resist was developed in 3:7 cellosolve:methanol with ultrasonic agitation.
Abstract: The present limit of around 10 nm for the width of lines fabricated by e‐beam lithography using polymethylmethacrylate (PMMA) resist on silicon substrates has been overcome. 5–7 nm wide etched lines in bulk Si substrates have been produced. A 65 nm thick layer of PMMA was exposed with an 80 kV electron beam of diameter smaller than 5 nm. After exposure the resist was developed in 3:7 cellosolve:methanol with ultrasonic agitation. The pattern in resist was transferred to the Si substrate with reactive ion etching. Lines of width varying between 5 and 7 nm were recorded using an S‐900 scanning electron microscope which has a resolution of 0.7 nm.

270 citations


Proceedings ArticleDOI
07 Feb 1993
TL;DR: In this article, a technique for 3D micro fabrication using stereo lithography is proposed, which is called the integrated hardened polymer stereo-lithography (IH) process and is suitable for microstructures made of both polymer and metals.
Abstract: A technique for three-dimensional micro fabrication using stereo lithography is proposed. It is called the IH process (integrated hardened polymer stereo lithography) and is suitable for microstructures made of both polymer and metals. The experimental apparatus developed and the fabrication of various 3-D microstructures, such as bending pipe, connected pipe, microcoil spring, and one-way valve, are described. >

267 citations


Patent
20 Jul 1993
TL;DR: The image features exhibit an affinity for ink or an ink-abhesive fluid that differs from that of unexposed areas as discussed by the authors, leading to a pattern of features on the plate.
Abstract: Lithographic printing plates suitable for imaging by means of laser devices that emit in the near-infrared region. Laser output either ablates one or more plate layers or physically transforms a surface layer, in either case resulting in an imagewise pattern of features on the plate. The image features exhibit an affinity for ink or an ink-abhesive fluid that differs from that of unexposed areas.

203 citations


Journal ArticleDOI
TL;DR: In this article, a self-assembled monolayer of n−octadecanethiol (C18H37SH) was used as a mask for chemical etching of GaAs.
Abstract: We present results on electron beam exposure of a self‐assembled monolayer film as a self‐developing positive resist on GaAs. A 1.5 nm thick monolayer of n‐octadecanethiol (C18H37SH) deposited on a GaAs (100) substrate showed a electron beam sensitivity of about 100 μC/cm2. The monolayer resist was used as a mask for chemical etching of the GaAs. Patterns in GaAs have been created with widths approximately equal to the exposing electron beam width of 50 nm.

156 citations


Journal ArticleDOI
19 Mar 1993-Langmuir
TL;DR: In this article, the tip of a scanning tunneling microscope was used to fabricate geometrically well structures within organized, self-assembled monolayer resist that have critical dimensions ranging from 60 nm to 5 micrometers.
Abstract: : The tip of a scanning tunneling microscope was used to fabricate geometrically well structures within organized, self-assembled monolayer resist that have critical dimensions ranging from 60 nm to 5 micrometers. To achieve nanometer-scale lithography, a Au(111) substrate was coated with a self- assembled monolayer of HS(CH2)17CH3, which functions as an ultrathin (approx. 2. 5 nm) resist, and then the resist was etched by an STM tip. This treatment results in window-like features that penetrate the organic monolayer. Nanolithographically defined features have been characterized by scanning tunneling microscopy, scanning electron microscopy, and electrochemical methods. For example, since mass and electron transfer to the conductive Au substrate are blocked by the monolayer everywhere except in the STM-etched regions, the windows serve as ultramicroelectrodes. The limiting current that results from radial diffusion of a bulk-phase redox species to the etched window is in close agreement with that predicted by theory.

147 citations


Journal ArticleDOI
TL;DR: Graded multilayer coatings can be used to modify the figure of optical substrates without increasing the surface roughness, and offers a potential method for precise fabrication of aspheric imaging optics.
Abstract: Recent advances in multilayer mirror technology meet many of the stringent demands of soft-x-ray projection lithography (SXPL). The maximum normal-incidence reflectivity achieved to date is 66% for Mo/Si multilayers at a soft-x-ray wavelength of 13.4 am, which is sufficient to satisfy the x-ray throughput requirements of SXPL. These high-performance coatings can be deposited on figured optics with layer thickness control of ˜ 0.5%. Uniform multilayer coatings are required for SXPL imaging optics, for which maintaining the surface figure is critical to achieving diffraction-limited performance. In contrast the coatings on the condenser optics will be graded to accommodate a large range of angles of incidence. Graded multilayer coatings can also be used to modify the figure of optical substrates without increasing the surface roughness. This offers a potential method for precise fabrication of aspheric imaging optics.

132 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used Diffractive readout (DWR) to monitor interference effects between two coherent laser beams to create simple grating patterns in photoresist and demonstrated that these patterns are closely related to moire interference patterns; relationships are illustrated.
Abstract: Interference effects between two coherent laser beams have long been used to create simple grating patterns in photoresist. With the addition of multiple exposures with variations in period, phase, and orientation, in the same level of photoresist, highly complex one‐ and two‐dimensional patterns of potential interest for device application are demonstrated. The spatial scale of the lines forming these patterns is ∼1/4 of the writing wavelength λ (period to λ/2 and line to space ratio of 1:1) and is in the extreme submicron range, ∼0.1 μm, for readily available laser sources, such as an Ar+‐ion laser operating at 364 nm. Importantly, the depth‐of‐focus for these pairwise exposures is unlimited on the scale of typical semiconductor device topographies and large area, uniform exposures to scales much larger than projected integrated circuit die sizes (e.g., to 30×30 cm2) are easily achieved. These patterns are closely related to moire interference patterns; relationships are illustrated. Diffractive readout is shown to be a powerful and intuitive technique for monitoring these structures. Additional flexibility in pattern fabrication is provided with conventional lithography to define areas on a larger scale and by the use of aperture and phase masks to isolate areas. An example is the fabrication of an interdigitated structure with submicron spaces over a large area.

118 citations


Patent
13 May 1993
TL;DR: In this article, a technique for imaging lithographic printing members responsive to the output of laser devices is described, where the output passes through at least one discrete layer and ablates one or more underlying layers, resulting in an imagewise pattern of features on the printing member.
Abstract: Techniques for imaging lithographic printing members responsive to the output of laser devices. Laser output passes through at least one discrete layer and ablates one or more underlying layers, resulting in an imagewise pattern of features on the printing member. The image features exhibit an affinity for ink or an ink-abhesive fluid that differs from that of unexposed areas.

108 citations


Journal ArticleDOI
TL;DR: In this article, a modified scanning electron microscope operated at 35 keV and liftoff of Ni/Au was used to achieve a standard deviation (3σ) of an overlay accuracy (30 deviation) of 50 nm.
Abstract: Gratings of 10 nm wide metal lines 30 nm apart, and quantum transistor gates with 10 nm wide gaps over 300 nm long between two metal rectangles have been repeatedly achieved on thick GaAs substrates using a modified scanning electron microscope operated at 35 keV and liftoff of Ni/Au. Furthermore, multilevel electron beam lithography with a standard deviation (3σ) of an overlay accuracy (30 deviation) of 50 nm has been achieved using the same modified scanning electron microscope.

94 citations


Journal ArticleDOI
TL;DR: In this paper, the nanofabrication of two-dimensional photonic lattice structures in GaAs/AlGaAs was reported, which comprises a hexagonal array of air cylinders etched into a semiconductor surface with a refractive index contrast of 3.54.
Abstract: The nanofabrication of two‐dimensional photonic lattice structures in GaAs/AlGaAs is reported. The nanofabrication procedure combines direct‐write electron‐beam lithography and reactive‐ion‐beam etching to achieve etched features as small as 50 nm. The lattice comprises a hexagonal array of air cylinders etched into a semiconductor surface with a refractive index contrast of 3.54. A range of air volume fractions from 14% to 84% was investigated. The lithographic, masking, and etching processes necessary to fabricate the lattice are described along with practical limitations to achieving a lattice of arbitrary air volume fraction. Initial results from optical characterization of the lattice are also presented.

90 citations


Journal ArticleDOI
TL;DR: In this article, a continuous surface-relief diffractive optical element for two-dimensional array generation (fan-out) is designed and fabricated, and the phase-grating microstructures are generated by laser-beam writing lithography in a single exposure step and converted to nickel shims by electroplating.
Abstract: Continuous surface-relief diffractive optical elements for two-dimensional array generation (fan-out) are designed and fabricated. Separable and nonseparable solutions for the two-dimensional element design are compared. The phase-grating microstructures are generated by laser-beam writing lithography in a single exposure step and converted to nickel shims by electroplating, enabling low-cost replicas to be produced by using laboratory and commercial replication processes. Results are presented for a 9 x 9 fan-out diffractive optical element with a measured efficiency of 94% and an overall uniformity within +/-8%; replicas in epoxy have the same efficiency and a uniformity of +/-15%.

Journal ArticleDOI
TL;DR: It is shown that useful resolution is not limited by diffraction until linewidths are below 50 nm, and it is asserted that projection XRL using multilayer mirrors at 13 nm can never match the present performance of proximity XRL.
Abstract: Proximity X-ray lithography (XRL), using wavelengths between 0.8 and 1.5 nm, provides a near-ideal match to the "system problem" of lithography for feature sizes from 500 to 30 nm, by virtue of "absorption without scattering" and recently developed mask technology. The effects of photoelectrons, at one time thought to be problematic, are now understood not to limit resolution. With experiments and simulations via Maxwell's equations, we show that useful resolution is not limited by diffraction until linewidths are below 50 nm. It is critically important to optimize the source spatial incoherence to eliminate the deleterious effects of high spatial frequencies. Mask architecture and patterning methods are presented which we believe are compatible with manufacturing at linewidths from 500 to 30 nm. Distortion due to mask frame flexing and absorber stress can now be eliminated. Elimination of distortion at the pattern generation stage remains the problem of greatest concern. We discuss a proposed method of spatial-phase-locked electron-beam lithography which could solve this problem. Our new interferometric alignment scheme has achieved 18-nm alignment at 3σ. We assert that projection XRL using multilayer mirrors at 13 nm can never match the present performance of proximity XRL. Applications of sub-100-nm XRL, including MOS, quantum-effect, and optoelectronic devices are discussed which illustrate the benefits of high resolution, process robustness, low distortion, low damage, and high throughput.

Journal ArticleDOI
TL;DR: The use of focused ion beams for fine pattern writing was examined in this paper, where it was shown that the ability to write original patterns at 0.1 µm and below is a serious candidate for future fine pattern rewriting.
Abstract: Lithography for microelectronics, that is, the exposure and development of resist, is already being carried out in research laboratories at dimensions well below 0.1 μm. In production the minimum dimensions are likely to approach 0.1 μm before the end of the decade. This review will examine the use of focused ion beams for ultrafine lithography. Minimum dimensions down to 0.015 μm have been reported as well as exposure of 0.25 μm thick resist with o.05 μm linewidth for the making of X-ray lithography masks. At this time there are only two techniques for writing original patterns (as opposed to replicating them) at 0.1 μm and below; electron beams and ion beams. Electron beams are at a mature state of development and have advantages in absence of shot noise and in fast deflection capability. Ion beams on the other hand have demonstrated absence of proximity effect and high resist sensitivity, i.e. potentially faster writing speed. The development of the gas field ion source promises hundredfold increase in current density of light ions (H2+, He …) in the beam focal spot. In addition, these light ion beams at high energy can be deflected at the speeds needed for lithography. Thus focused ion beam lithography is a serious candidate for future fine pattern writing.

Patent
14 Oct 1993
TL;DR: In this paper, an electron-beam lithography apparatus and method, including an electron source with a mask or photocathode for generating a patterned electron beam; an electron sensitive resist layer; a conductive plate with a slit, located between the electron source and the resist layer, with the patterning electron beam passing only through the slit.
Abstract: An electron-beam lithography apparatus and method, including an electron source with a mask or photocathode for generating a patterned electron beam; an electron-sensitive resist layer; a conductive plate with a slit, located between the electron source and the resist layer, with the patterned electron beam passing only through the slit; an electric field between the electron source and the conductive plate to accelerate electrons, with the conductive plate causing the electric field between the plate and the resist to be substantially zero; a magnetic field between the electron source and the resist, to focus electrons on the resist; and alignment device for synchronously scanning the mask or photocathode and the resist at the same velocity relative to the slit and to the electron source, and at zero velocity relative to each other, so that substantially all of the pattern of the patterned electron beam is imaged on the resist without substantial change in size.

Journal ArticleDOI
TL;DR: Using a very fine electron beam in a dedicated field emission scanning electron microscope produces nanometer size deposits which extend from surfaces to heights in the micrometer range as mentioned in this paper, and these nanostructures have very special characteristics with respect to resistivity and shape.
Abstract: Two‐ and three‐dimensional patterns and structures can be grown by electron‐beam induced deposition from organic and metalorganic precursors. Using a very fine electron beam in a dedicated field emission scanning electron microscope produces nanometer size deposits which extend from surfaces to heights in the micrometer range. The material is fed to the sample through a nozzle which presents a small leakage flux to the specimen chamber. Having an image processor attached to the microscope allows two‐ and three‐dimensional deposition of material to be controlled. Selecting special speed rates for the motion of the beam generates inclined deposits even at a 90° beam landing angle. Combining a tilted sample and the two‐dimensional way of structuring yield three‐dimensional structures. These nanostructures have very special characteristics with respect to resistivity and shape. Selecting dimethyl‐ gold‐trifluoro‐acetylacetonate as precursor, a current of 1 nA, and a low electron energy of 10 keV for the depos...

Journal ArticleDOI
TL;DR: This work presents a method of fabricating three-dimensional micro-optical components by the so-called LIGA process, a lithography, electroforming, and plastic molding process in which poly(methyl methacrylate) is structured by high-energy synchrotron radiation
Abstract: Micro-optics is usually associated with planar waveguides or integrated optical circuits. In this case the propagation of light is restricted to one or two dimensions, and the three-dimensional nature of light propagation is disregarded. We present a method of fabricating three-dimensional micro-optical components by the so-called LIGA process, a lithography, electroforming, and plastic molding process in which poly(methyl methacrylate) is structured by high-energy synchrotron radiation. We demonstrate an experimental system of image formation that uses microprisms and microlenses for a three-dimensional microintegration of optical components.

Proceedings ArticleDOI
01 Oct 1993
TL;DR: This book is very referred for you because it gives not only the experience but also lesson, it is about this technology of proximal probe lithography that will give wellness for all people from many societies.
Abstract: Where you can find the technology of proximal probe lithography easily? Is it in the book store? On-line book store? are you sure? Keep in mind that you will find the book in this site. This book is very referred for you because it gives not only the experience but also lesson. The lessons are very valuable to serve for you, that's not about who are reading this technology of proximal probe lithography book. It is about this book that will give wellness for all people from many societies.

Patent
24 Sep 1993
TL;DR: A method of making high performance MOSFETs uses image reversal lithography to make punchthrough implants as mentioned in this paper, which can be used to make fast and accurate implant insertion.
Abstract: A method of making high performance MOSFETs uses image reversal lithography to make punchthrough implants.

Proceedings ArticleDOI
08 Aug 1993
TL;DR: In this paper, the applicability of i-line phase shifting lithography to the production of application specific ICs (ASICs) was investigated, using an I-line stepper with a numerical aperture of 0.48.
Abstract: Phase shifting masks for real circuits have been investigated extensively only for DRAMs. In this paper, we report on the applicability of i-line phase shifting lithography to the production of application specific ICs (ASICs). The performance of several phase shift strategies is compared, using an i-line stepper with a numerical aperture of 0.48. Data preparation and mask technology considerations are taken into account. Emphasis is placed on the two most critical levels: poly gate and contact window. Results on poly topography are shown. For the poly level, the frequency doubling alternating shifter strategy in combination with a positive resist seems to be capable of printing features down to 0.35 micrometers CD, but the development of automatic phase shift level generation software is still in a preliminary phase. Edge contrast enhancement strategies in combination with a negative resist are considerably simpler, in particular the halftone PSM strategy. These strategies are also very useful in combination with a positive resist for the contact level, where a doubling of the process latitudes was obtained.

Journal ArticleDOI
TL;DR: Techniques are described for at-wavelength interferometry of multilayer coated optics designed for use in extreme-ultraviolet lithography and several types of interferometer that might be suitable at these short wavelengths.
Abstract: Techniques are described for at-wavelength interferometry of multilayer coated optics designed for use in extreme-ultraviolet lithography. Broadly tunable undulator radiation, which covers the spectral region from 45 to 400 A, is described. The coherent power available at these wavelengths is described, and several types of interferometer that might be suitable at these short wavelengths are also described.

Journal ArticleDOI
TL;DR: Intensity scaling suggests that laser spot size and two-dimensional expansion are important for optimizing x-ray production at these low-irradiation intensities.
Abstract: X-ray production in the region ~ 13 nm from laser-produced plasmas has been investigated as a source for projection x-ray lithography. The dependence of x-ray conversion efficiency on target material, intensity, and pulse length has been studied by using a 0.53-μm laser with a maximum of 0.3 J. A conversion efficiency of 1% into a 0.3-nm bandwidth has been demonstrated for Sn targets at intensities of ~ 1011 W/cm2 by using a 7.5-ns pulse. Intensity scaling suggests that laser spot size and two-dimensional expansion are important for optimizing x-ray production at these low-irradiation intensities.

Journal ArticleDOI
TL;DR: In this article, a novel magnetic force microscope tip has been proposed and fabricated that consists of a ∼30 nm thick ferromagnetic film coated on one side of a nonmagnetic pillar which is ∼150 nm wide and over 1.5 μm long.
Abstract: A novel magnetic force microscope tip has been proposed and fabricated that consists of a ∼30 nm thick ferromagnetic film coated on one side of a nonmagnetic pillar which is ∼150 nm wide and over 1.5 μm long. The pillar was fabricated on the apex of a commercial scanning force microscope tip using high‐resolution electron beam lithography. The ferromagnetic film was evaporated on the pillar from an angle so that only the pillar, not the rest of the tip, was coated. The coated ferromagnetic film has a trough shape and a tapered end with a tip radius of ∼10 nm. The film is single domain because of the nanoscale size and shape anisotropy. Compared to conventional Ni wire tips, the new tips have a much smaller, magnetic cross section at the end of the tip, thus offering better imaging resolution and they have lower stray field, thus making them well suited to measuring soft magnetic materials.

Patent
20 Jul 1993
TL;DR: The image features exhibit an affinity for ink or an ink-abhesive fluid that differs from that of unexposed areas as mentioned in this paper, leading to a pattern of features on the plate.
Abstract: Lithographic printing plates suitable for imaging by means of laser devices that emit in the near-infrared region. Laser output either ablates one or more plate layers or physically transforms a surface layer, in either case resulting in an imagewise pattern of features on the plate. The image features exhibit an affinity for ink or an ink-abhesive fluid that differs from that of unexposed areas.

Journal ArticleDOI
TL;DR: In this paper, the dependence of conversion efficiency on target material, intensity, wavelength, and pulse width is determined using absolutely calibrated detectors using laser produced plasmas as a source for soft x-ray projection lithography.
Abstract: Laser‐produced plasmas are investigated as a source for soft x‐ray projection lithography. The dependence of conversion efficiency on target material, intensity, wavelength, and pulse width is determined using absolutely calibrated detectors. Conversion efficiency greater than 1% into a 2.2 eV bandwidth is demonstrated for Sn targets, fulfilling the system source requirements.

Journal ArticleDOI
TL;DR: In this paper, the fabrication of sub-50 nm Si pillars, ridges, and trenches with aspect ratios greater than 10 using ultrahigh resolution electron beam lithography and chlorine based reactive ion etching was presented.
Abstract: We present the fabrication of sub‐50 nm Si pillars, ridges, and trenches with aspect ratios greater than 10 using ultrahigh resolution electron beam lithography and chlorine based reactive ion etching. These nanoscale Si features can be further reduced to 10 nm using an additional HF wet etch. No photoluminescence was observed from arrays of 10 nm Si structures passivated with HF.

Journal ArticleDOI
TL;DR: The first realization of three‐dimensionally confined semiconductor heterostructures via a one‐step growth on nonplanar patterned substrate is reported, and a substrate encoded size reducing epitaxical growth process is exploited.
Abstract: We report the first realization of three‐dimensionally confined semiconductor heterostructures via a one‐step growth on nonplanar patterned substrate. Truncated pyramidal shaped mesas on GaAs (111)B patterned substrates are employed and a substrate encoded size reducing epitaxical growth process exploited to realize GaAs pinched‐off pyramidal volumes of base ∼50 nm and height 13 nm.

Patent
08 Jan 1993
TL;DR: In this article, a new method of metallization of an integrated circuit is described, where semiconductor device structures are fabricated in and on a semiconductor substrate and at least one lithography alignment cross mark opening structure is formed.
Abstract: A new method of metallization of an integrated circuit is described. Semiconductor device structures are fabricated in and on a semiconductor substrate. At least one contact opening to the semiconductor substrate and at least one lithography alignment cross mark opening structure are formed. A barrier layer is preferably sputtered within the contact openings and over the semiconductor device structures. A cold aluminum seed layer is sputtered over all surfaces of the contact openings. Next, a hot aluminum flow layer is provided to obtain the desired step coverage of the contact openings. A second cold aluminum layer is then sputtered onto the hot aluminum layer to define the edges of the wide lithography alignment marks while maintaining good contact opening coverage.

Journal ArticleDOI
TL;DR: In this article, the fabrication and preliminary photoluminescence (PL) study of free standing Si pillars with diameters of about 10 nm and aspect ratios greater than 15.
Abstract: This article reports the fabrication and preliminary photoluminescence (PL) study of free‐standing Si pillars with diameters of about 10 nm and aspect ratios greater than 15. The pillars were fabricated using electron‐beam lithography, chlorine based reactive ion etching (RIE), and subsequent HF wet etching. Using HF etching offers several advantages: (a) it is a relatively stress independent process and therefore preserves the original shape of the structure; (b) it is a room temperature process; (c) it has a very controllable etch rate, ∼1.9 nm/h; and (d) it can remove RIE damage and passivate the Si surface. PL with a peak at 720 nm was repeatedly observed from an array of nanoscale pillars with ∼20 nm diameters. However, the cause of such PL is still unclear.

Journal ArticleDOI
TL;DR: It is determined that there are several discrete wavelength regions between 10 and 25 nm that satisfy the system-imposed constraints of high resolution, large depth of focus, and high throughput.
Abstract: The choice of the operational wavelength for a soft-x-ray projection lithography system affects a wide variety of system parameters such as optical design, sources, resists, and multilayer mirrors. Several system constraints limit the choice for the operational wavelength. In particular, optical imaging requirements place an upper limit and throughput issues place a lower limit on the wavelength selection. We have determined that there are several discrete wavelength regions between 10 and 25 nm that satisfy the system-imposed constraints of high resolution, large depth of focus, and high throughput.

Journal ArticleDOI
TL;DR: A fast three-dimensional volume removal algorithm for resist dissolution is presented and verified with applications to optical lithography with phase-shift masks, resist silylation, and electron-beam lithography.
Abstract: A fast three-dimensional volume removal algorithm for resist dissolution is presented and verified with applications to optical lithography with phase-shift masks, resist silylation, and electron-beam lithography. Memory requirements are reduced by dynamically allocating complete topography and material information only at surface cells, and setting other cells as either bulk material or developer. The dissolution algorithm uses a fixed time step and stores the volume of material remaining in the surface cells. A simple redistribution scheme is used if more volume would be removed from a cell in one time step that is currently present. The compactness and speed of the algorithm make it suitable for use on engineering workstations. Simulations requiring 100*100*100 cells can be performed in a few minutes. Theoretical defocus effects in phase-shift mask lithography and shot-size error in electron-beam lithography are compared with experiment. A dry-etch resist silylation process is also investigated. >