scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2006"


Journal ArticleDOI
Seung-Man Yang1, Se Gyu Jang1, Dae-Geun Choi, Sarah Kim1, Hyung Kyun Yu1 
01 Apr 2006-Small
TL;DR: This Review presents the state of the art of colloidal lithography and consists of three main parts, beginning with synthetic routes to monodisperse colloids and their self-assembly with low defect concentrations, which are used as lithographic masks.
Abstract: Colloidal lithography is a recently emerging field; the evolution of this simple technique is still in progress. Recent advances in this area have developed a variety of practical routes of colloidal lithography, which have great potential to replace, at least partially, complex and high-cost advanced lithographic techniques. This Review presents the state of the art of colloidal lithography and consists of three main parts, beginning with synthetic routes to monodisperse colloids and their self-assembly with low defect concentrations, which are used as lithographic masks. Then, we will introduce the modification of the colloidal masks using reactive ion etching (RIE), which produces a variety of nanoscopic features and multifaceted particles. Finally, a few prospective applications of colloidal lithography will be discussed.

587 citations


Patent
08 Aug 2006
TL;DR: In this article, a method to determine a property of a substrate by measuring, in the pupil plane of a high numerical aperture lens, an angle-resolved spectrum as a result of radiation being reflected off the substrate was proposed.
Abstract: An apparatus and method to determine a property of a substrate by measuring, in the pupil plane of a high numerical aperture lens, an angle-resolved spectrum as a result of radiation being reflected off the substrate. The property may be angle and wavelength dependent and may include the intensity of TM- and TE-polarized radiation and their relative phase difference.

400 citations


Journal ArticleDOI
TL;DR: In this paper, an alternative and novel approach for fabricating microlens arrays that is based on the confinement of surface wrinkles was introduced. But the ability to control the size and arrangement of the microlenses through clever control of the geometric shape and material properties of the wrinkled regions was demonstrated.
Abstract: The ability to generate microlens arrays in a rapid and costeffective manner allows for the fabrication of a variety of inexpensive functional devices, such as optical refractive elements or smart surfaces that mimic the patterned surfaces in biological systems used to control solid and liquid adhesion. A variety of strategies have been adopted for fabricating microlens structures. In general, they can be broadly classified into three categories: 1) surface-tension-driven techniques consisting of melt-reflow and ink-jet printing; 2) imprinting methods; and 3) lithographic approaches such as grayscale photolithography or interference lithography. While these approaches demonstrate the ability to produce microlens arrays with uniform surface profiles, the techniques are either high-cost or require long fabrication times. In this paper, we introduce an alternative and novel approach for fabricating microlens arrays that is based on the confinement of surface wrinkles. We demonstrate the ability to control the size and the arrangement of the microlenses through clever control of the geometric shape and material properties of the wrinkled regions. Our approach offers several advantages over previous methodologies of microlens fabrication, including: 1) the ability to create microlens arrays rapidly; 2) ease of tuning the dimensions of the microlenses; and 3) versatility in the process that allows the formation of microlens arrays on nonplanar substrates. We demonstrate the flexibility of our approach in patterning nonplanar surfaces by patterning a hemispherical surface with an array of microlenses, thereby forming a compound lens (Fig. 1). To fabricate the microlens arrays, we modified our previously developed methodology for generating wrinkle-pattern surfaces (Fig. 2a). We began by selective ultraviolet/ ozone (UVO) oxidation of a crosslinked polydimethylsiloxane (PDMS) film to convert specific regions of the PDMS surface into a silicate thin film. The chemical modification created the necessary elastic-moduli differences on the PDMS surface to allow us to control and define the wrinkle formation. Following the silicate formation, the surface was coated with photopolymerizable n-butyl acrylate (nBA) and then covered with a glass superstrate. The acrylate monomer swelled the PDMS surface globally, but the surface wrinkles occurred only in regions where the moduli mismatch existed—that is, in the oxidized PDMS regions. This selective UVO allowed for the control of the spatial distribution of the wrinkle patterns (Fig. 2b and c). The wrinkle patterns disappeared upon evaporation of the acrylate swelling agent; however, we stabilized these wrinkle structures through photopolymerization of the nBA. Finally, we lifted away the glass superstrate, which caused cohesive fracture of the polymerized poly(n-butyl acrylate) (PnBA) film. Due to the extreme interfacial moduli mismatch between the PnBA and silicate layers, the fracture path proceeded along the contours of the wrinkle surface. Hence, the microlens arrays were revealed upon removal of the glass superstrate (Fig. 2b). C O M M U N IC A TI O N

348 citations


Journal ArticleDOI
TL;DR: Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering.

270 citations


Journal ArticleDOI
TL;DR: In this paper, a review of recent advances in lithography is presented with special reference to the microelectronics industry, including two-photon lithography, step-and-flash imprint lithography and nanofabrication using block copolymers.
Abstract: The nanotechnology revolution of the past decade owes much to the science of lithography, an umbrella term which encompasses everything from conventional photolithography to “unconventional” soft lithography and the self-assembly of block polymers. In this review, some of the recent advances in lithography are summarized with special reference to the microelectronics industry. The next generation photolithography, two-photon lithography, step-and-flash imprint lithography and nanofabrication using block copolymers are covered, in an attempt to describe more recent work in this vibrant and active field of research. Copyright © 2006 John Wiley & Sons, Ltd.

243 citations


Journal ArticleDOI
TL;DR: In this paper, a hexagonal polymethylmethacrylate microlens arrays fabricated by imprint lithography on a glass substrate are used to optimize the design of a white organic light emitting device.
Abstract: High efficiency white organic light emitting devices (WOLEDs) with optical outcoupling enhanced by hexagonal polymethylmethacrylate microlens arrays fabricated by imprint lithography on a glass substrate are demonstrated. Monte Carlo and finite difference time domain simulations of the emitted light are used to optimize the microlens design. The measured enhancement of light outcoupling and the angular dependence of the extracted light intensity are in agreement with the simulation. Using microlens arrays, we demonstrate a fluorescent/phosphorescent WOLED with a maximum external quantum efficiency of (14.3±0.3)% at 900cd∕m2 and power efficiency of 21.6±0.5lm∕W at 220cd∕m2. The electroluminescent spectra at viewing angles from normal to the substrate plane, to 60° off normal, remain almost unchanged, giving a color rendering index of 87.

243 citations


Journal ArticleDOI
TL;DR: It is demonstrated that bowtie apertures can be used for contact lithography to achieve nanometer scale resolution and holes of sub-50-nm dimensions can be produced in photoresist by illuminating the aperture with a 355 nm laser beam polarized in the direction across the gap.
Abstract: We demonstrate that bowtie apertures can be used for contact lithography to achieve nanometer scale resolution. The bowtie apertures with a 30 nm gap size are fabricated in aluminum thin films coated on quartz substrates. Lithography results show that holes of sub-50-nm dimensions can be produced in photoresist by illuminating the apertures with a 355 nm laser beam polarized in the direction across the gap. Experimental results show enhanced transmission and light concentration of bowtie apertures compared to square and rectangular apertures of the same opening area. Finite different time domain simulations are used to explain the experimental results.

242 citations


Journal ArticleDOI
TL;DR: In this article, a type of thin-film transistor that uses aligned arrays of thin (submicron) ribbons of single-crystal silicon created by lithographic patterning and anisotropic etching of bulk silicon wafers was introduced.
Abstract: This letter introduces a type of thin-film transistor that uses aligned arrays of thin (submicron) ribbons of single-crystal silicon created by lithographic patterning and anisotropic etching of bulk silicon (111) wafers. Devices that incorporate such ribbons printed onto thin plastic substrates show good electrical properties and mechanical flexibility. Effective device mobilities, as evaluated in the linear regime, were as high as 360cm2V−1s−1, and on/off ratios were >103. These results may represent important steps toward a low-cost approach to large-area, high-performance, mechanically flexible electronic systems for structural health monitors, sensors, displays, and other applications.

206 citations


Journal ArticleDOI
TL;DR: Extreme ultraviolet interference lithography (EUV-IL) is a newly developed technique for the production of periodic nano-structures with resolution below 20 nm as discussed by the authors, which is based on coherent radiation that is obtained from undulators at synchrotron radiation laboratories.
Abstract: Extreme ultraviolet interference lithography (EUV-IL) is a newly developed technique for the production of periodic nano-structures with resolution below 20 nm. The technique is based on coherent radiation that is obtained from undulators at synchrotron radiation laboratories. The high resolution is afforded by small wavelength and practical absence of the proximity effect at this energy. The throughput of this parallel exposing method is much higher than that of the serial electron-beam lithography. Interference schemes based on both reflection (mirrors) and diffraction (gratings) optics have been realized. Both one-dimensional and two-dimensional patterns such as arrays of dots have been achieved. Achromatic interference schemes have been developed to make efficient use of the beam power available from the wideband sources in the extreme ultraviolet region. EUV-IL is used in a growing number of applications; examples include fabrication of self-assembly templates, magnetic nanodot arrays and nano-optical components.

197 citations


Journal ArticleDOI
TL;DR: In this paper, the relationship between beam geometry and the symmetry of the interference patterns, the lithographic process, and various types of photoresist systems, including thick films of negative-tone and positive-tone photoresists, organic-inorganic hybrids, hydrogels, and holographic polymer-dispersed liquid crystals are discussed.
Abstract: The fabrication of true three-dimensional (3D) microstructures both rapidly and economically over a large area with negligible defects is attractive for a wide range of applications. In particular, multi-beam interference lithography is one of the promising techniques that can mass-produce polymeric 3D photonic crystals defect-free over a large area. This review discusses the relationship between beam geometry and the symmetry of the interference patterns, the lithographic process, and various types of photoresist systems, including thick films of negative-tone and positive-tone photoresists, organic-inorganic hybrids, hydrogels, and holographic polymer-dispersed liquid crystals. Copyright © 2006 John Wiley & Sons, Ltd.

185 citations


Journal ArticleDOI
TL;DR: Optical waveguides that incorporate Bragg gratings have been written in bulk fused silica by using the femtosecond laser direct-write method and without the need for lithography or ion-beam techniques.
Abstract: Optical waveguides that incorporate Bragg gratings have been written in bulk fused silica by using the femtosecond laser direct-write method and without the need for lithography or ion-beam techniques. A single manufacturing process is used to create waveguide-Bragg grating reflectors for operation in the C band.

Patent
22 May 2006
TL;DR: In this article, a photopolymerizable photosensitive layer on a support is proposed to provide a lithographic printing original plate which does not require overcoat layer, causes no blocking phenomenon between plates, does not have problem with storage stability under high temperature and high humidity conditions, and excels in dot reproducibility and printing durability.
Abstract: PROBLEM TO BE SOLVED: To provide a lithographic printing original plate which has a photopolymerizable photosensitive layer on a support, does not require overcoat layer, causes no blocking phenomenon between plates, does not have problem with storage stability under high temperature and high humidity conditions, and excels in dot reproducibility and printing durability. SOLUTION: The lithographic printing original plate has the photopolymerizable photosensitive layer on the support, wherein the lithographic printing original plate contains two or more matting agents that are different in the particle diameter in the photosensitive layer. COPYRIGHT: (C)2008,JPO&INPIT

Journal ArticleDOI
TL;DR: Simulations using a finite-difference time-domain algorithm are in agreement with the experimental results and show that the transmission spectra are governed by Fabry-Perot interference and nearfield coupling between the two layers of the structure.
Abstract: We have fabricated, characterized and theoretically analyzed the performance of bilayer (or stacked) metallic wire-grids. The samples with 100 nm period were fabricated with extreme-ultraviolet interference lithography. Transmission efficiency over 50% and extinction ratios higher than 40 dB were measured in the visible range with these devices. Simulations using a finite-difference time-domain algorithm are in agreement with the experimental results and show that the transmission spectra are governed by Fabry-Perot interference and near-field coupling between the two layers of the structure. The simple fabrication method involves only a single lithographic step without any etching and guarantees precise alignment and separation of the two wire-grids with respect to each other.

Journal ArticleDOI
TL;DR: In this article, a reverse-side exposure scheme through UV-transparent substrates (e.g., glass, sapphire, or quartz) has been exploited for implementing high-aspect-ratio structures (greater than 20:1).
Abstract: Various three-dimensionally (3-D) complex MEMS structures are fabricated using multidirectional ultraviolet (UV) lithography, which includes reverse-side exposure through a UV-transparent substrate, inclined exposure with or without simultaneous substrate rotation, and the combination of these processes. A reverse-side exposure scheme through UV-transparent substrates (e.g., glass, sapphire, or quartz) has been exploited for implementing high-aspect-ratio structures (greater than 20:1), repeatable self-alignment photoresist patterning with subsequent metallization on a BST/sapphire substrate, and unconventional patterning using substrate optics such as proximity patterning or integrated lens techniques. Inclined exposure has been applied to a SU-8 substrate with differing inclination angles and incidence directions. The refractive index of SU-8 is experimentally determined to be 1.68 by means of test structures fabricated using this approach. Implemented structures using the inclined exposure include vertical screen structures, inclined tubes, and conical shape structures. Dynamic mode operation, in which the substrate is continuously rotated and tilted during exposure is also discussed. Examples of achievable 3-D structures using dynamic mode operation are presented

Patent
Mehul Naik1
18 Jul 2006
TL;DR: In this paper, a dual damascene structure is fabricated on a substrate using a low-k dielectric material layer to a desired etch depth to form a trench prior to forming a via, and then a bottom etch stop layer on the bottom of the vias is then etched and the organic fill material is striped.
Abstract: The invention provides methods and apparatuses for fabricating a dual damascene structure on a substrate First, trench lithography and trench patterning are performed on the surface of a substrate to etch a low-k dielectric material layer to a desired etch depth to form a trench prior to forming of a via The trenches can be filled with an organic fill material and a dielectric hard mask layer can be deposited Then, via lithography and via resist pattering are performed Thereafter, the dielectric hard mask and the organic fill material are sequentially etched to form vias on the surface of the substrate, where the trenches are protected by the organic fill material from being etched A bottom etch stop layer on the bottom of the vias is then etched and the organic fill material is striped As a result, the invention provides good patterned profiles of the via and trench openings of a dual damascene structure

Journal ArticleDOI
Jie Lian1, Lumin Wang1, Xiangcheng Sun1, Qingkai Yu1, Rodney C. Ewing1 
TL;DR: In this article, a maskless method combining top-down and bottom-up patterning was proposed for the fabrication of ordered nanostructures with precisely controlled size, spacing, and location.
Abstract: Alternative fabrication and patterning of ordered nanostructures has become critically important as the size of devices reaches the nanoscale and the resolution of conventional optical lithography approaches its physical limit. Here, we have developed a simple method that allows one to pattern metallic surface nanostructures with precisely controlled size, spacing, and location using ion-beam-induced dewetting and Rayleigh instability. Predefined patterns by focused ion beam direct-writing were used as the templates for the self-organization of ordered nanostructures. Single or double chains, concentric rings, and two-dimensional arrays of metallic nanoparticles with a well-controlled spacing, diameter, and location were fabricated. This approach represents a maskless process that combines the top-down and bottom-up patterning methods, and no chemical etching or pattern transfer steps are involved. This method can be applied to many metallic systems in constructing complex, higher-order functional nanostr...

Journal ArticleDOI
TL;DR: In this article, a fabrication process of precisely controlled 3D microstructures using a maskless gray-scale lithography is described, where multilayered ultraviolet exposure patterns digitally generated by a commercialized maskless exposure system are superposed on a photoresist-coated substrate layer by layer so as to realize a 3D profile of the UV dose.
Abstract: A fabrication process of precisely controlled three-dimensional (3D) microstructures using a maskless gray-scale lithography is described. Multilayered ultraviolet (UV) exposure patterns digitally generated by a commercialized maskless exposure system are superposed on a photoresist-coated substrate layer by layer so as to realize a 3D profile of the UV dose. After a development with an appropriate time, 3D profile of photoresist corresponding to the profile of the UV dose is obtained. Changing the exposure patterns and the exposure time of each exposure makes the precise control of the profile of UV dose possible. The maskless exposure system realizes fabrication of variable three-dimensional patterns at low cost with saving time. As the result of the maskless gray-scale lithography, positive photoresist patterns of spherical and aspherical microlens array of 100 μm in each diameter and 17 μm in height are fabricated. The patterns are transferred into silicon substrates with reactive ion etching (RIE).

Journal ArticleDOI
TL;DR: By three-dimensional finite-difference time-domain method, it is found that the extraction efficiency of the LED tends to be saturated as the etch-depth in the GaN epitaxial-layer becomes larger than the wavelength of the guided modes.
Abstract: The nano-imprint lithography method was employed to incorporate wide-area (375×330µm2) photonic-crystal (PC) patterns onto the top surface of GaN-based LEDs. When the 280-nm-thick p-GaN was partly etched to ~140nm, the maximal extraction-efficiency was observed without deteriorating electrical properties. After epoxy encapsulation, the light output of the PC LED was enhanced by 25% in comparison to the standard LED without pattern, at a standard current of 20mA. By three-dimensional finite-difference time-domain method, we found that the extraction efficiency of the LED tends to be saturated as the etch-depth in the GaN epitaxial-layer becomes larger than the wavelength of the guided modes.


Journal ArticleDOI
TL;DR: In this article, a double-side aluminum nanowire-grid polarizer with 30nm-wide linewidth and 200nm depth was fabricated by UV-nanoimprint lithography, which leads to ultrahigh transmittance.
Abstract: Both high contrast and high transmittance are preferred for optical polarizers. To achieve high transmittance for aluminum nanowire-grid polarizers, a narrow linewidth is required. In this letter, aluminum nanowire-grid polarizers with 30-nm-wide linewidth and 200nm depth were fabricated by UV-nanoimprint lithography, which leads to ultrahigh transmittance. To achieve a high contrast, the authors fabricated the 30-nm-wide aluminum nanowire structures on both sides of the glass wafers. An extremely high contrast up to 10 000:1 was achieved, in the visible range, along with good transmittance of 83%–87% for the double-side aluminum nanowire-grid polarizers.

Journal ArticleDOI
TL;DR: Conformable phase masks, transparent photopolymers and two photon effects provide the basis for a simple, parallel lithographic technique that can form complex, but well defined three-dimensional nanostructures in a single exposure step.
Abstract: Conformable phase masks, transparent photopolymers and two photon effects provide the basis for a simple, parallel lithographic technique that can form complex, but well defined three dimensional (3D) nanostructures in a single exposure step. This paper describes the method, presents examples of its ability to form 3D nanostructures (including free standing particles with controlled shapes) and comprehensive modeling of the associated optics. Single step, large area 3D pattern definition, sub-wavelength resolution and experimental simplicity represent features that make this method potentially useful for applications in photonics, biotechnology and other areas.

Journal ArticleDOI
TL;DR: Using the "beat" grating image (Moiré fringe) generated by overlaying two sets of gratings that have slightly different periods, an alignment signal is obtained with a sensitivity better than 10 nm in nanoimprint lithography.
Abstract: Accurate multi-level overlay capability for nanoimprint lithography (NIL) is essential to integrated circuit manufacturing and other multilayer imprint applications. Using the "beat" grating image (Moire fringe) generated by overlaying two sets of gratings that have slightly different periods, we obtained an alignment signal with a sensitivity better than 10 nm in nanoimprint lithography. The alignment signal is, as expected, independent of the size of the gap between the wafer and the imprint mold. We achieved a single-point overlay accuracy (error distribution) of sub-20 nm between the first and second imprinted layers by using two sets of Moire fringes. With higher precision nanopositioning stages, better single-point alignment accuracy is expected. Furthermore, we achieved sub-150 nm alignment over an area of 1 sq in and sub-250 nm over the entire area of a 4 in wafer using simple low-resolution stages without temperature control or wafer-mold mismatch compensation. With better stages, precision temperature control, and wafer-mold mismatch compensation, we believe that much higher overlay alignment accuracy over large areas (either in a 1 sq in die or a full wafer) is feasible.

Proceedings ArticleDOI
20 Mar 2006
TL;DR: In this paper, the authors describe the use of full-chip verification engines in current Design For Manufacturing (DFM) practices and extend the analysis to identify a set of key technologies and applications for the 45, 32 and 22 nm nodes.
Abstract: The past decade has experienced a remarkable synergy between Resolution Enhancement Technologies (RET) in Optical Lithography and Optical Proximity Correction (OPC). This heterogeneous array of patterning solutions ranges from simple rule-based to more sophisticated model-based corrections, including sub-resolution assist features, partially transmitting masks and various dual mask approaches. A survey of the evolutionary development from the early introduction of the first OPC engines in 1996 to the debut of Immersion Lithography in 2006 reveals that the convergence of RET and OPC has also enabled a progressive selection and fine-tuning of Geometric Design Rules (GDR) at each technology node, based on systematic adoption of lithographic verification. This paper describes the use of "full-chip" lithography verification engines in current Design For Manufacturing (DFM) practices and extends the analysis to identify a set of key technologies and applications for the 45, 32 and 22 nm nodes. As OPC-derived tools enter the stage of maturity, from a software standpoint, their use-model is being greatly broadened from the back-end mask tape-out flow, upstream, directly integrated into physical design verification. Lithography awareness into the physical design environment, mediated by new DFM verification tools and flows, is driving various forms of manufacturable physical layout implementation: from Restricted Design Rules and Flexible Design Rules to Regular Circuit Fabrics. As new lithography solutions, such as immersion lithography and EUV, will have to be deployed within a complex technology framework, the paper also examines the trend towards "layout design regularization" and its implications for patterning and next generation lithographies.

Journal ArticleDOI
TL;DR: In this article, the authors summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers.

Journal ArticleDOI
TL;DR: In this paper, the effect of cold development on the improvement in patterning resolution and line edge roughness for electron-beam lithography resists is discussed and a discussion on why this works for resists like ZEP 520 and PMMA and not for positive chemically amplified resists (such as UV113) is presented.
Abstract: This article presents the results about the effect of cold development on the improvement in patterning resolution and line edge roughness for electron-beam lithography resists. Cold development shows improved resolution and contrast for resists that are exposed by polymer chain scission. It does not work for chemically amplified resists. A discussion on why this works for resists like ZEP 520 and PMMA and not for positive chemically amplified resists (such as UV113) is presented. Results for 13 nm structures obtained after metal liftoff using a 30 kV e-beam tool using ZEP 520 resist are shown. These results have impact in the photomask industry and other manufacturers that require squeezing out as much resolution out of their existing tools and materials. It is found that, even with the improvement by cold development, there is a “shot noise” of 2% uncertainty limit that is not surpassed for resists exposed at 100kV. This explains why high throughput and high resolution electron-beam nanolithography is n...

Journal ArticleDOI
TL;DR: High-fidelity two- and three-dimensional microstructures fabricated with sub-micrometric resolution in large areas of approximately 1 mm diameter are potentially usable as elements of micro-fluidic systems and templates for photonic crystal devices.
Abstract: Micro-fabrication of periodic structures was performed by holographic lithography technique in SU-8 photoresist using a simple and versatile experimental arrangement based on a diffractive beam-splitter. High-fidelity two- and three-dimensional microstructures fabricated with sub-micrometric resolution in large areas of approximately 1 mm diameter. The structures are potentially usable as elements of micro-fluidic systems (e.g., Brownian ratchets), and templates for photonic crystal devices (e.g., mirrors, collimators, superprisms).

Journal ArticleDOI
TL;DR: In this article, the authors used thermally reversible Diels-Alder crosslinking for nano-indentation on polymer thin films using heated tips, revealing Arrhenius kinetics.
Abstract: Reversibly crosslinked polymer films have properties that are beneficial to scanned-probe data storage and lithographic applications that use thermomechanical nanoindentation as a write or expose mechanism. The novel polymer under study contains linkages based on thermally reversible Diels-Alder crosslinking. Thermomechanical properties on the nanometer scale are analyzed by indentation experiments on polymer thin films using heated tips. The underlying indentation mechanism is studied at varying tip temperatures and indentation times, revealing Arrhenius kinetics. This is in contrast to the Williams-Landau-Ferry kinetics usually observed for polymer systems. The discrepancy is explained by the reversible crosslinking incorporated into the structure of the polymer that allows switching between two different states: a rigid, highly crosslinked, low-temperature state, and a deformable, fragmented, high-temperature state. An individual indentation volume of less than 10 -20 L (10 000 molecule pairs) is estimated. These kinetics experiments demonstrate that a chemical reaction of only a few thousand molecules can be transduced into a mechanically measurable action. The ability to cycle between two sets of properties in these materials opens up new perspectives in lithography and data storage. Examples of data storage with densities up to 1 Tb in. -2 and maskless lithography with resolution below 20 nm are demonstrated at writing times of 10 [is per bit/pixel.

Journal ArticleDOI
TL;DR: The leading techniques for generating nanopatterns with biological function are described including parallel techniques such as extreme ultraviolet interference lithography, soft-lithographic techniques (e.g., replica molding and microcontact printing), nanoimprint lithography (NIL), nanosphere lithographic (NSL) and the nanostencil technique, in addition to direct-writing techniques including e-beam lithography and dip-pen nanolithography.
Abstract: Both curiosity and a desire for efficiency have advanced our ability to manipulate materials with great precision on the micrometer and, more recently, on the nanometer scale. Certainly, the semiconductor and integrated circuit industry has put the pressure on scientist and engineers to develop better and faster nanofabrication techniques. Furthermore, our curiosity as to how life works, and how it can be improved from a medical perspective, stands to gain a great deal from advances in nanotechnology. Novel nanofabrication techniques are opening up the possibilities for mimicking the inherently nano-world of the cell, i.e., the nanotopographies of the extracellular matrix (ECM) and the nanochemistry presented on both the cell membrane and the ECM. In addition, biosensing applications that rely on fabrication of high-density, precision arrays, e.g., DNA or gene chips and protein arrays, will gain significantly in efficiency and, thus, in usefulness once it becomes possible to fabricate heterogeneous nanoarrays. Clearly, continued advances in nanotechnology are desired and required for advances in biotechnology. In this review, we describe the leading techniques for generating nanopatterns with biological function including parallel techniques such as extreme ultraviolet interference lithography (EUV-IL), soft-lithographic techniques (e.g., replica molding (RM) and microcontact printing (muCP)), nanoimprint lithography (NIL), nanosphere lithography (NSL) (e.g., colloid lithography or colloidal block-copolymer micelle lithography) and the nanostencil technique, in addition to direct-writing techniques including e-beam lithography (EBL), focused ion-beam lithography (FIBL) and dip-pen nanolithography (DPN). Details on how the patterns are generated, how biological function is imparted to the nanopatterns, and examples of how these surfaces can and are being used for biological applications will be presented. This review further illustrates the rapid pace by which advances are being made in the field of nanobiotechnology, owing to an increasing number of research endeavors, for an ever increasing number of applications.

Patent
02 Aug 2006
TL;DR: In this article, a method for separately calibrating an optical model and a resist model of the lithography process using information derived from in-situ aerial image measurements is proposed.
Abstract: A method for separately calibrating an optical model and a resist model of lithography process using information derived from in-situ aerial image measurements to improve the calibration of both the optical model and the resist model components of the lithography simulation model Aerial images produced by an exposure tool are measured using an image sensor array loaded into the exposure tool Multiple embodiments of measuring aerial image information and using the measured aerial image information to calibrate the optical model and the resist model are disclosed The method of the invention creates more accurate and separable optical and resist models, leading to better predictability of the pattern transfer process from mask to wafer, more accurate verification of circuit patterns and how they will actually print in production, and more accurate model-based process control in the wafer fabrication facility

Journal ArticleDOI
TL;DR: Finite-difference time-domain simulations of the interference on a thin resist layer show that broad-beam illumination with a p-polarized light at a wavelength of 441 nm can produce features as small as 60 nm with high contrast, smaller than lambda/7.
Abstract: Large-area surface-plasmon polariton (SPP) interference lithography is presented, which uses an attenuated total reflection-coupling mode to excite the interference of the SPPs. The interference of the SPPs causes a highly directional intensity range in a finite depth of the electric field, which is good for noncontact. Finite-difference time-domain simulations of the interference on a thin resist layer show that broad-beam illumination with a p-polarized light at a wavelength of 441 nm can produce features as small as 60 nm with high contrast, smaller than λ/7. Our results illustrate the potential for patterning periodic structures over large areas at low cost.