scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2008"


Journal ArticleDOI
TL;DR: In this paper, the authors proposed a bilayer transfer process from a rigid mold to a Si wafer, and pointed out that the process can potentially be extended to a roller bilayers transfer process.
Abstract: The ability of microto nanometer-scale patterning on flexible substrates can enable many new applications in the area of photonics and organic electronics. A major roadblock has remained for many practical applications of patterned nanostructures, which is the throughput of nanopattern replication and the associated cost issues. Among the emerging techniques, nanoimprint lithography (NIL) clearly stands out as a promising technology for high-throughput and highresolution nanometer-scale patterning, which can achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in other traditional techniques. Developments in this area have enjoyed great momentum in the past decade and numerous applications, such as in Si electronics, organic electronics and photonics, magnetics, and biology have been exploited by many researchers. On the other hand, the current process and throughput in NIL (on the order of a few minutes per wafer) is still far from meeting the demands of many practical applications, especially in photonics, biotechnology, and organic optoelectronics. The concept of roller imprinting has been pursued by previous investigators as a means to improve speed. However, the procedure was to imprint a small piece of Si mold onto a Si substrate, which is not too different from that of conventional NIL except that a rod is used to apply pressure rather than a flat plate. The reverse nanoimprinting or nanotransfer printing methods produce positive-tone polymer or metal patterns, which in principle can also be applied to roll-to-roll printing processes. In addition, Lee et al. proposed a bilayer transfer process from a ‘‘rigiflex’’ mold to a Si wafer, and pointed out that the process can potentially be extended to a roller bilayer transfer process. However, these are yet to be demonstrated.

618 citations


Journal ArticleDOI
17 Oct 2008-Science
TL;DR: This work presents a modular and hierarchical self-assembly strategy, combining supramolecular assembly of hydrogen-bonding units with controlled phase separation of diblock copolymers, for the generation of nanoscale square patterns that will enable simplified addressability and circuit interconnection in integrated circuit manufacturing and nanotechnology.
Abstract: The manufacture of smaller, faster, more efficient microelectronic components is a major scientific and technological challenge, driven in part by a constant need for smaller lithographically defined features and patterns. Traditional self-assembling approaches based on block copolymer lithography spontaneously yield nanometer-sized hexagonal structures, but these features are not consistent with the industry-standard rectilinear coordinate system. We present a modular and hierarchical self-assembly strategy, combining supramolecular assembly of hydrogen-bonding units with controlled phase separation of diblock copolymers, for the generation of nanoscale square patterns. These square arrays will enable simplified addressability and circuit interconnection in integrated circuit manufacturing and nanotechnology.

599 citations


Journal ArticleDOI
16 Jan 2008
TL;DR: In this paper, the authors focus on the benefits of using ICs at the 22-nm node and beyond, and no shortage of ideas on how to accomplish this, although it is not clear that optics will be the most economical in this range; extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as ldquomaskless lithographyrdquo).
Abstract: For all technologies, from flint arrowheads to DNA microarrays, patterning the functional material is crucial. For semiconductor integrated circuits (ICs), it is even more critical than for most technologies because enormous benefits accrue to going smaller, notably higher speed and much less energy consumed per computing function. The consensus is that ICs will continue to be manufactured until at least the ldquo22 nm noderdquo (the linewidth of an equal line-space pattern). Most patterning of ICs takes place on the wafer in two steps: (a) lithography, the patterning of a resist film on top of the functional material; and (b) transferring the resist pattern into the functional material, usually by etching. Here we concentrate on lithography. Optics has continued to be the chosen lithographic route despite its continually forecast demise. A combination of 193-nm radiation, immersion optics, and computer-intensive resolution enhancement technology will probably be used for the 45- and 32-nm nodes. Optical lithography usually requires that we first make a mask and then project the mask pattern onto a resist-coated wafer. Making a qualified mask, although originally dismissed as a ldquosupport technology,rdquo now represents a significant fraction of the total cost of patterning an IC largely because of the measures needed to push resolution so far beyond the normal limit of optical resolution. Thus, although optics has demonstrated features well below 22 nm, it is not clear that optics will be the most economical in this range; nanometer-scale mechanical printing is a strong contender, extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as ldquomaskless lithographyrdquo). Going from laboratory demonstration to manufacturing technology is enormously expensive ( $1 billion) and for good reason. Just in terms of data rate (mask pattern to resist pattern), today's exposure tools achieve about 10 Tb/s at an allowable error rate of about 1/h; this data rate will double with each generation. In addition, the edge placement precision required will soon be 30 parts per billion. There are so many opportunities for unacceptable performance that making the right decision goes far beyond understanding the underlying physical principles. But the benefits of continuing to be able to manufacture electronics at the 22-nm node and beyond appear to justify the investment, and there is no shortage of ideas on how to accomplish this.

410 citations


Journal ArticleDOI
TL;DR: A new low-cost, high-throughput approach to maskless nanolithography that uses an array of plasmonic lenses that 'flies' above the surface to be patterned, concentrating short-wavelength surface plasmons into sub-100 nm spots.
Abstract: The commercialization of nanoscale devices requires the development of high-throughput nanofabrication technologies that allow frequent design changes1,2. Maskless nanolithography3,4,5,6,7,8,9,10,11,12,13, including electron-beam and scanning-probe lithography, offers the desired flexibility but is limited by low throughput. Here, we report a new low-cost, high-throughput approach to maskless nanolithography that uses an array of plasmonic lenses that ‘flies’ above the surface to be patterned, concentrating short-wavelength surface plasmons into sub-100 nm spots. However, these nanoscale spots are only formed in the near field, which makes it very difficult to scan the array above the surface at high speed. To overcome this problem we have designed a self-spacing air bearing that can fly the array just 20 nm above a disk that is spinning at speeds of between 4 and 12 m s−1, and have experimentally demonstrated patterning with a linewidth of 80 nm. This low-cost nanofabrication scheme has the potential to achieve throughputs that are two to five orders of magnitude higher than other maskless techniques. Maskless nanolithography is a flexible nanofabrication technique but it suffers from low throughput. By developing a new approach that involves 'flying' an array of plasmonic lenses just 20 nm above a rotating surface, it is possible to increase throughput by several orders of magnitude.

308 citations


01 Jan 2008
TL;DR: The benefits of continuing to be able to manufacture electronics at the 22-nm node and beyond appear to justify the investment, and there is no shortage of ideas on how to accomplish this.
Abstract: For all technologies, from flint arrowheads to DNA microarrays, patterning the functional material is crucial. For semiconductor integrated circuits (ICs), it is even more critical than for most technologies because enormous benefits accrue to going smaller, notably higher speed and much less energy consumed per computing function. The consensus is that ICs will continue to be manufactured until at least theB22 nm node( (the linewidth of an equal line-space pattern). Most patterning of ICs takes place on the wafer in two steps: a) lithography, the patterning of a resist film on top of the functional material; and b) transferring the resist pattern into the functional material, usually by etching. Here we concentrate on lithography. Optics has continued to be the chosen lithographic route despite its continually forecast demise. A combination of 193-nm radia- tion, immersion optics, and computer-intensive resolution enhancement technology will probably be used for the 45- and 32-nm nodes. Optical lithography usually requires that we first make a mask and then project the mask pattern onto a resist-coated wafer. Making a qualified mask, although origi- nally dismissed as a Bsupport technology,( now represents a significant fraction of the total cost of patterning an IC largely because of the measures needed to push resolution so far beyond the normal limit of optical resolution. Thus, although optics has demonstrated features well below 22 nm, it is not clear that optics will be the most economical in this range; nanometer-scale mechanical printing is a strong contender, extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as Bmaskless lithography(). Going from laboratory demonstra- tion to manufacturing technology is enormously expensive (9 $1 billion) and for good reason. Just in terms of data rate (mask pattern to resist pattern), today's exposure tools achieve about 10 Tb/s at an allowable error rate of about 1/h; this data rate will double with each generation. In addition, the edge placement precision required will soon be 30 parts per billion. There are so many opportunities for unacceptable perfor- mance that making the right decision goes far beyond under- standing the underlying physical principles. But the benefits of continuing to be able to manufacture electronics at the 22-nm node and beyond appear to justify the investment, and there is no shortage of ideas on how to accomplish this.

301 citations


Journal ArticleDOI
TL;DR: The recent developments on large-scale, multiple-functional-layer metamaterials are discussed in detail, and alternative methods for 3D fabrication of complex structures are mentioned.

293 citations


Journal ArticleDOI
TL;DR: In this paper, a simple and efficient way to obtain freestanding graphene membranes is presented, where electron-beam induced deposition of carbon can be used to obtain arbitrary patterns with a nanometer-scale resolution.
Abstract: We present a simple and efficient way to obtain freestanding graphene membranes. On these membranes, we demonstrate that electron-beam induced deposition of carbon can be used to obtain arbitrary patterns with a nanometer-scale resolution. In the case of a periodic grating, we obtain a half-pitch of 2.5nm. Electron-beam induced deposition on graphene might be used to create nanometer-scale doping patterns, diffraction gratings, or etch masks in this novel electronic material.

278 citations


Journal Article
TL;DR: In this article, the materials, methods, and applications of soft lithography for microfluidics are briefly summarized with a particular emphasis on integrated micro-fluidic systems containing physical microstructures or a topographically patterned substrate.
Abstract: Soft lithography has provided a low-expertise route toward micro/nanofabrication and is playing an important role in microfluidics, ranging from simple channel fabrication to the creation of micropatterns onto a surface or within a microfluidic channel. In this review, the materials, methods, and applications of soft lithography for microfluidics are briefly summarized with a particular emphasis on integrated microfluidic systems containing physical microstructures or a topographically patterned substrate. Relevant exemplary works based on the combination of various soft lithographic methods using microfluidics are introduced with some comments on their merits and weaknesses.

267 citations


Journal ArticleDOI
25 Apr 2008-Science
TL;DR: Using a patterned, grating-like plate to control the electromagnetic near field, this work demonstrates focusing well beyond the diffraction limit at ∼ 1 gigahertz.
Abstract: Using a patterned, grating-like plate to control the electromagnetic near field, we demonstrate focusing well beyond the diffraction limit at ∼ 1 gigahertz. The near-field plate consists of only capacitive elements and focuses microwaves emanating from a cylindrical source to a spot of size ≈ λ/20 (half-power beamwidth), where λ is the free-space wavelength. These plates will find application in antennas, beam-shaping devices, nonradiative wireless power-transfer systems, microscopy, and lithography.

253 citations


Journal ArticleDOI
TL;DR: With this technique, the synthesis of silicon nanostructures that were fabricated using a combination of interference lithography and catalytic etching are created that are perfectly periodic over very large areas, where the cross-sectional shapes and the array ordering can be varied.
Abstract: We report results on the synthesis of silicon nanostructures that were fabricated using a combination of interference lithography and catalytic etching. With this technique, we were able to create ...

225 citations


Journal ArticleDOI
01 Feb 2008
TL;DR: Various kinds of layer manufacturing process are available, such as stereo lithography (SL), fused deposition modelling (FDM), Poly-jet, selective laser sintering (SLS), three-dimensional printing...
Abstract: Various kinds of layer manufacturing process are available, such as stereo lithography (SL), fused deposition modelling (FDM), Poly-jet, selective laser sintering (SLS), three-dimensional printing ...

Journal ArticleDOI
TL;DR: In this paper, a maskless nanostructure fabrication by laser interference lithography (LIL) using Lloyd's mirror interferometer is investigated, where the edge quality is improved by anti-reflective coating (ARC) between the substrate and the photoresist to minimize the interference of vertical standing waves.

Journal ArticleDOI
TL;DR: In this paper, a flexible carbon nanotube (CNT) thin-film transistor (TFT) was fabricated by ink-jet printing technology without any surface pretreatment steps.
Abstract: We report a flexible carbon nanotube (CNT) thin-film transistor (TFT) fabricated solely by ink-jet printing technology. The TFT is top gate configured, consisting of source and drain electrodes, a carrier transport layer based on an ultrapure, high-density (>1000 CNTs/μm2) CNT thin film, an ion-gel gate dielectric layer, and a poly(3,4-ethylenedioxythiophene) top gate electrode. All the TFT elements are ink-jet printed at room temperature on a polyimide substrate without involving any photolithography patterning or surface pretreatment steps. This CNT-TFT exhibits a high operating frequency of over 5 GHz and an on-off ratio of over 100. Such an all-ink-jet-printed process eliminates the need for lithography, vacuum processing, and metallization procedures and thus provides a promising technology for low-cost, high-throughput fabrication of large-area high-speed flexible electronic circuits on virtually any desired flexible substrate.

Journal ArticleDOI
07 Jul 2008
TL;DR: Nanoimprint lithography is used to pattern the endface of an optical fiber using a biological nanotemplate replicated into polymer and coated with metal to validate the approach.
Abstract: Nanoimprint lithography is used to pattern the endface of an optical fiber. A biological nanotemplate is replicated into polymer and coated with metal. Observed enhancement of through-fibre Raman scattering validates the approach.

Proceedings ArticleDOI
08 Dec 2008
TL;DR: A yield modeling technique for a given layout, based on a statistical model for process variability, is presented, which shows that yield sensitivity increases at smaller feature sizes.
Abstract: Photolithography is at the heart of semiconductor manufacturing process. To support continued scaling of transistors, lithographic resolution must continue to improve. At today's volume manufacturing process, a light source of 193 nm wavelength is used to print devices with 45 nm feature size. To address sub-wavelength printability, a number of resolution enhancement techniques (RET) have been used. While RET techniques allow printing of sub-wavelength features, the feature length itself becomes highly sensitive to process parameters, which in turn detracts from yield due to small perturbations in manufacturing parameters. Yield loss is a function of random variables such as depth-of-focus, exposure dose, lens aberration and resist thickness. The loss-of-yield is also a function of systematic components such as specific layout structure and out-of-band radiation from optical source. In this paper, we present a yield modeling technique for a given layout, based on a statistical model for process variability. The key issues addressed in this paper are (i) layout error modeling, (ii) avoidance of mask simulation for chip layouts, (iii) avoidance of full Monte-Carlo simulation for variational lithography modeling, (iv) building a methodology for yield estimation based on existing commercial tools. Results based on our approach show that yield sensitivity increases at smaller feature sizes.

Journal ArticleDOI
TL;DR: In this article, local anodic oxidation (LAO) was used for fabrication of single-layer, bilayer, and multilayer graphene using tapping-mode atomic force microscope.
Abstract: We conducted local anodic oxidation (LAO) lithography in single-layer, bilayer, and multilayer graphene using tapping-mode atomic force microscope. The width of insulating oxidized area depends systematically on the number of graphene layers. An 800-nm-wide bar-shaped device fabricated in single-layer graphene exhibits the half-integer quantum Hall effect. We also fabricated a 55-nm-wide graphene nanoribbon (GNR). The conductance of the GNR at the charge neutrality point was suppressed at low temperature, which suggests the opening of an energy gap due to lateral confinement of charge carriers. These results show that LAO lithography is an effective technique for the fabrication of graphene nanodevices.

Proceedings ArticleDOI
TL;DR: In this article, a positive tone (spacer as mask) approach was used to create 22nm line and space arrays, on 300mm wafers, with full wafer critical dimension uniformity (CDU) < 2nm (3 sigma) and line edge roughness (LER) > 2nm.
Abstract: Self-aligned double patterning (SADP) is a patterning technique that uses CVD spacers formed adjacent to a core (template) pattern that is defined by conventional lithography. After stripping the core (template) material, the spacers serve as a hardmask with double the line density of the original lithographically defined template. This integration scheme is an alternative to conventional double patterning for extending the half-pitch resolution beyond the current lithography tool's half-pitch limit. Using a positive tone (spacer as mask) approach, we show capability to create 22nm line and space arrays, on 300mm wafers, with full wafer critical dimension uniformity (CDU) < 2nm (3 sigma) and line edge roughness (LER) < 2nm. These 22nm line and space results stem from template lithography using 1.2NA 193nm water immersion lithography. In this paper, we also demonstrate lot to lot manufacturability, the patterning of two substrate types (STI and silicon oxide trench), as well as demonstrate the formation of gridded design rule (GDR) building blocks for circuit design.

Journal ArticleDOI
TL;DR: This Account reviews nanoskiving--a new technique that combines thin-film deposition of metal on a topographically contoured substrate with sectioning using an ultramicrotome--as a method of fabricating nanostructures that could replace conventional top-down techniques in selected applications.
Abstract: This Account reviews nanoskiving--a new technique that combines thin-film deposition of metal on a topographically contoured substrate with sectioning using an ultramicrotome--as a method of fabricating nanostructures that could replace conventional top-down techniques in selected applications. Photolithography and scanning beam lithography, conventional top-down techniques to generate nanoscale structures and nanostructured materials, are useful, versatile, and highly developed, but they also have limitations: high capital and operating costs, limited availability of the facilities required to use them, an inability to fabricate structures on nonplanar surfaces, and restrictions on certain classes of materials. Nanoscience and nanotechnology would benefit from new, low-cost techniques to fabricate electrically and optically functional structures with dimensions of tens of nanometers, even if (or perhaps especially if) these techniques have a different range of application than does photolithography or scanning beam lithography. Nanoskiving provides a simple and convenient procedure to produce arrays of structures with cross-sectional dimensions in the 30-nm regime. The dimensions of the structures are determined by (i) the thickness of the deposited thin film (tens of nanometers), (ii) the topography (submicrometer, using soft lithography) of the surface onto which the thin film is deposited, and (iii) the thickness of the section cut by the microtome (> or =30 nm by ultramicrotomy). The ability to control the dimensions of nanostructures, combined with the ability to manipulate and position them, enables the fabrication of nanostructures with geometries that are difficult to prepare by other methods. The nanostructures produced by nanoskiving are embedded in a thin epoxy matrix. These epoxy slabs, although fragile, have sufficient mechanical strength to be manipulated and positioned; this mechanical integrity allows the nanostructures to be stacked in layers, draped over curved surfaces, and suspended across gaps, while retaining the in-plane geometry of the nanostructures embedded in the epoxy. After removal of the polymer matrix by plasma oxidation, these structures generate suspended and draped nanostructures and nanostructures on curved surfaces. Two classes of applications, in optics and in electronics, demonstrate the utility of nanostructures fabricated by nanoskiving. This technique will be of primary interest to researchers who wish to generate simple nanostructures, singly or in arrays, more simply and quickly than can be accomplished in the clean-room. It is easily accessible to those not trained in top-down procedures for fabrication and those with limited or no access to the equipment and facilities needed for photolithography or scanning-beam fabrication. This Account discusses a new fabrication method (nanoskiving) that produces arrays of metal nanostructures. The defining process in nanoskiving is cutting slabs from a polymeric matrix containing embedded, more extended metal structures.

Journal ArticleDOI
01 Aug 2008-Small
TL;DR: This work first fabricated ZnO nanowire arrays by nanoscale spacer lithography (NSL), which is a technique that is expected to be more plausible and suitable for the large-scale manufacturing of nanowires.
Abstract: Semiconductor metal-oxide nanowires have attracted strong research interest in the past few years because of their unique and superior properties as compared with the bulk materials. Among the various semiconductor metal-oxide nanowires, zinc oxide (ZnO) nanowires are considered to be one of the most important semiconductor nanomaterials for fabricating devices with applications such as optoelectronics, electronics, mechanics, and sensors due to their wide and direct bandgap energy (3.37 eV), large exciton binding energy (60 meV), and high thermal and mechanical stability. To date, the basic properties of nanowire devices have been investigated using electron-beam lithography, focused-ion-beam lithography, and dip-pen nanolithography. Although these techniques have made great contributions to nanowire technology, their use on a large scale still remains a significant challenge due to their high cost and low throughput. As part of the effort to address the challenge of producing ordered nanowire arrays, various methods of aligning nanowires using an electric field, microfluidic flow-assisted techniques, magnetic-field assembly, and the Langmuir– Blodgett method have been reported. However, these methods still suffer from inherent drawbacks, such as random placement, contamination, and general incompatibility with conventional silicon processing. Recently, direct patterning techniques using spacer lithography have been reported to avoid the problems associated with the alignment of the nanowires. Large-number-density nanowire arrays could fabricated by using these approaches with sizes of tens of nanometers and a lateral resolution of about 2 nm. These methods are expected to be more plausible and suitable for the large-scale manufacturing of nanowire devices. However, there are no reports that demonstrate the feasibility of the various applications based on semiconductor oxide nanowire devices fabricated by the spacer lithography methods. In this work, we first fabricated ZnO nanowire arrays by nanoscale spacer lithography (NSL), which is a technique that

Journal ArticleDOI
TL;DR: Guo et al. as discussed by the authors proposed the use of nanoimprint lithography (NIL) and two-dimensional nanostructure array (nanoblock) molds derived from onedimensional gratings to produce noble metal nanoparticles.
Abstract: Localized surface plasmon resonance (LSPR), collective electron density oscillations found in noble metal nanostructures, has been studied extensively over the past decade due to its potential utility as the backbone for a number of photonic technologies capable of controlling light at nanoscopic dimensions well below the diffraction limit. Research in this field has been engendered by the tremendous growth in fabrication methods capable of producing an enormous variety of nanoparticle (NP) systems and nanostructured films. Numerous theoretical and experimental studies have established that LSPR is sensitive to the shape, size, interparticle distance, dielectric environment and material composition of the constituentNPs.Additionally, optical dichroismobserved from well-aligned nanoparticle arrays has demonstrated the polarization dependence of their LSPR response.One of themost promising applications of nanoparticle systems is their use as real-time chemical and biological sensors that originate from the aforementioned LSPR dependence on their dielectric environment. Such systems have been demonstrated using a variety of NP implementations including single-particle, onedimensional and two-dimensional array configurations on transparent substrates as well as solution-based methods. An abundance of nanofabrication techniques have been employed to produce the desired nanostructures utilized in LSPR studies with varied degrees of success as measured by parameters such as monodispersity. A few examples of these techniques include electronbeam lithography, templates, nanosphere lithography (NSL) and colloidal solution-based nanoparticle synthesis—ofwhich the latter two have been used quite extensively. Although NSL and solution-based methods have been effective for fundamental studies of the influence of NP characteristics on LSPR, there are still significant limitations of both techniques that limit their applicability to commercialized LSPR-based applications. Chemical synthesis techniques have the advantage of creating a wide array of exotic nanostructures based onmodification of the reaction parameters such as time, relative concentration of reactants and temperature. However, the monodispersity and reproducibility of desired structures can be difficult to achieve using this method. More importantly, this technique lacks the control of relative NP positioning and orientation in addition to the requirement of novel surface chemistries for the reduction of NP agglomeration and effective substrate attachment. The inability to precisely control the sample-to-sample LSPR response of immobilized NP systems is a severe limitation of this fabrication approach. Nanosphere lithography is an alternative fabrication method introduced byHulteen et al. to produce periodic particle arrays (PPAs) directly on a variety of substrates. This technique utilizes a closed-packed nanosphere mask that permits direct deposition of noble metal NPs onto a substrate through the interstitial regions of themask. NSL has been implemented in a single-layer and double-layer approach with extensive characterization and utilization of triangular nanoparticles resulting from the single-layer method. The precise control of PPA attributes afforded by this technique makes it a promising candidate as a fabrication method relevant to commercialized LSPR applications. Limitations of NSL include issues with surface coverage and the geometric constraints imposed by the nanospheremaskon thePPA lattice structure andNP shape characteristics which reduce the degrees-of-freedom available for the designed LSPR response of the PPA system. In order to address and supplement limitations encountered by a number of currentNP fabricationmethods, we propose the use of Nanoimprint Lithography (NIL) and two-dimensional nanostructure array (nanoblock) molds derived from onedimensional gratings to produce noblemetalNPAs.We believe this approach possesses a number of attributes that will not only enhance the fundamental study of NP systems, but may also play a key role in the production of marketable LSPR technologies. First, NIL is a mold-based, high-throughput and lowcost process capable of patterning large areas with sub-10 nm [*] Prof. L. J. Guo, Dr. J.-S. Kim Department of Electrical Engineering and Computer Science, University of Michigan Solid State Electronics Laboratory, 1301 Beal Ave., Ann Arbor, MI 48109 E-mail: guo@umich.edu

Journal ArticleDOI
TL;DR: Aluminum and gold nanowires were fabricated using 100 mm stencil wafers containing nanoslits fabricated with a focused ion beam to prove the capability of stencil lithography for the fabrication of metallic nanowire on a full wafer scale.
Abstract: Aluminum and gold nanowires were fabricated using 100 mm stencil wafers containing nanoslits fabricated with a focused ion beam. The stencils were aligned and the nanowires deposited on a substrate with predefined electrical pads. The morphology and resistivity of the wires were studied. Nanowires down to 70 nm wide and 5 µm long have been achieved showing a resistivity of 10 µΩcm for Al and 5 µΩcm for Au and maximum current density of ∼10 8 A/cm 2 . This proves the capability of stencil lithography for the fabrication of metallic nanowires on

Journal ArticleDOI
TL;DR: In this paper, the authors proposed a two-pulse irradiation scheme for high CE, and reduced ion debris using a carbon dioxide laser and a droplet or a punch-out target.
Abstract: Extreme ultraviolet(EUV)radiation from laser-produced plasma (LPP) has been thoroughly studied for application in mass production of next-generation semiconductor devices. One critical issue for the realization of an LPP-EUV light source for lithography is the conversion efficiency (CE) from incident laser power to EUVradiation of 13.5-nm wavelength (within 2% bandwidth). Another issue is solving the problem of damage caused when debris reaches an EUV collecting mirror. Here, we present an improved power balance model, which can be used for the optimization of laser and target conditions to obtain high CE. An integrated numerical simulation code has been developed for the target design. The code agrees well with experimental results not only for CE but also for detailed EUV spectral structure. We propose a two-pulse irradiation scheme for high CE, and reduced ion debris using a carbon dioxide laser and a droplet or a punch-out target. Using our benchmarked numerical simulation code, we find a possibility to obtain CE up to 6–7%, which is more than twice that achieved to date. We discuss the reduction of ion energy within the two-pulse irradiation scheme. The mitigation of energetic ions by a magnetic field is also discussed, and we conclude that no serious instability occurs due to large ion gyroradius.

Proceedings ArticleDOI
TL;DR: In this article, the results of fabrication of sub-50nm features on a 100nm pitch by the PDL-spacer DP process using 0.85 NA dry ArF lithography are reported.
Abstract: A double patterning (DP) process is introduced with application for advanced technology nodes. This DP technique is enabled by a novel low-temperature pulsed deposition layer (PDL TM ) oxide film which is deposited directly on patterned photoresist. In this article, we will report the results of fabrication of sub-50nm features on a 100nm pitch by the PDL-spacer DP process using 0.85 NA dry ArF lithography. This result represents the potential of the PDL-based DP to significantly enhance the resolution of the patterning process beyond the limits of optical lithography. Components of CD variance for this spacer DP scheme will be discussed.

Journal ArticleDOI
TL;DR: A color filter based on a subwavelength patterned grating in poly silicon was proposed and realized on a quartz substrate by utilizing the laser interference lithography technique to feature wide effective area compared to the costly e-beam lithography.
Abstract: A color filter based on a subwavelength patterned grating in poly silicon was proposed and realized on a quartz substrate. It was produced by utilizing the laser interference lithography technique to feature wide effective area compared to the costly e-beam lithography. An oxide layer was introduced on top of the silicon grating layer as a mask to facilitate the silicon-etching and to enhance the filtering selectivity as well. The structural parameters for the device include the grating pitch and height of 450 nm and 100 nm respectively, the silicon stripe width of 150 nm, and the oxide thickness of 200 nm. The fabricated device offered a spectral response suitable for a blue color filter, exhibiting the center wavelength of ~460 nm, the bandwidth ~90 nm and the peak transmission 40%. The positional dependence of its performance was examined to find the effective area of 3×3 mm2, where the variation in the relative transmission efficiency and in the center wavelength was less than 10% and 2 nm respectively. Finally, the influence of the angle of the incident beam upon the transfer characteristics of the device was investigated to reveal that the rate of change in the relative transmission was equivalent to about 1.5%/degree.

Journal ArticleDOI
TL;DR: In this article, the exposure determination is based on the measured threshold doses for used SU-8 resist layers as well as on the calculated diffractive distribution of an absorbed power, and post-exposure bake of the resist is performed at low temperature and low pressure to avoid changes of the structural size because of shrinkage due to temperature changes.
Abstract: SU8 submicron structures with an aspect ratio of more than 50 are made by soft X-ray lithography using modified spectra of the synchrotron radiation at the ANKA LITHO-1 beamline, which includes a chromium mirror. The X-ray spectrum is additional shaped by a beam stop and a filter to a narrow band in order to reduce the influence of diffraction and photoelectrons. The exposure determination is based on the measured threshold doses for used SU-8 resist layers as well as on the calculated diffractive distribution of an absorbed power. Post-exposure bake of the resist is performed at low temperature and low pressure to avoid changes of the structural size because of shrinkage due to temperature changes and to eliminate a “skin” layer at the top of the resist. SU8 structures with lateral dimensions of 1 μm and heights from 50 to 80 μm have been fabricated defect free with the optimized process.

Journal ArticleDOI
TL;DR: In this paper, a scalable fabrication technology for devices based on single quantum dots (QDs) was proposed, which combines site-controlled growth of QDs with an accurate alignment procedure.
Abstract: We report on a scalable fabrication technology for devices based on single quantum dots (QDs) which combines site-controlled growth of QDs with an accurate alignment procedure. Placement of individual QDs and corresponding device structures with a standard deviation of around 50nm from the target position was achieved. The potential of the technology is demonstrated by fabricating arrays of mesas, each containing one QD at a defined position. The presence of single, optically active QDs in the mesas was probed by scanning microphotoluminescence of the mesa arrays.

Journal ArticleDOI
25 Oct 2008-Langmuir
TL;DR: It is shown that controlled wrinkling can serve as an alternative pathway to producing lithographically produced masters that can even show submicron periodicities, and upscaling to larger areas is expected to be considerably simpler than that for existing techniques.
Abstract: We report on a novel lithography-free method for obtaining chemical submicron patterns of macromolecules on flat substrates. The approach is an advancement of the well-known microcontact printing scheme: While for classical microcontact printing lithographically produced masters are needed, we show that controlled wrinkling can serve as an alternative pathway to producing such masters. These can even show submicron periodicities. We expect upscaling to larger areas to be considerably simpler than that for existing techniques, as wrinkling results in a macroscopic deformation process that is not limited in terms of substrate size. Using this approach, we demonstrate successful printing of aqueous solutions of polyelectrolytes and proteins. We study the effectiveness of the stamping process and its limits in terms of periodicities and heights of the stamps' topographical features. We find that critical wavelengths are well below 355 nm and critical amplitudes are below 40 nm and clarify the failure mechanism in this regime. This will permit further optimization of the approach in the future.

Journal ArticleDOI
TL;DR: In this paper, the effects of different plasma chemistries including O2, Ar/O2 and CF4 on etch selectivity and surface/sidewall roughness for PS and PMMA have been characterized.
Abstract: Polystyrene-block-poly(methyl methacrylate), (PS-b-PMMA) diblock copolymer is a promising lithography alternative for nanometer scale features. The two components segregate into nanoscale domains when the polymer solution is spun on to form a thin film and annealed above the glass transition temperatures of both components. Preferential removal of PMMA domains through plasma etching to leave behind a PS mask for subsequent etching of underlying layers is the focus of this work. The quality of the PS mask is characterized by the thickness and lateral dimension of the PS structures after removal of the PMMA, as well as the smoothness of their surfaces. The effects of different plasma chemistries including O2, Ar/O2, Ar, CF4, and CHF3/O2 on etch selectivity and surface/sidewall roughness for PS and PMMA have been characterized. Ar/O2 produced the overall best results for the range of conditions studied.

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate that polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) can self-assemble in a well-aligned, long-range ordered nanopattern over arbitrarily large areas, commensurate with chemically prepatterned templates prepared by electron beam lithography.
Abstract: We demonstrate that polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) can self-assemble in a well-aligned, long-range ordered nanopattern over arbitrarily large areas, commensurate with chemically prepatterned templates prepared by electron beam (EB) lithography. The epitaxially grown cylindrical microdomain formed a defect-free hexagonal lattice although the Chemically-Patterned substrate had some defects in its pattern and errors in pattern position. Furthermore, we demonstrated that the self-assembly process can interpolate points in between the EB generated pattern, thus multiplying the pattern density. The result suggests that the self-assembly of PS-b-PMMA can repair the defects of the patterned substrate, while the patterned substrate can align the domain structures of block copolymer into a long-range ordered single array. The developed process, which combines EB lithography and self-assembly of diblock copolymer provides a promising fabrication method for extension of top down-type lithogra...