scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2020"


Journal ArticleDOI
TL;DR: A high-performance 3D printable conducting polymer ink based on poly(3,4-ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS) for 3D printing of conducting polymers is introduced to take full advantage of advanced3D printing.
Abstract: Conducting polymers are promising material candidates in diverse applications including energy storage, flexible electronics, and bioelectronics. However, the fabrication of conducting polymers has mostly relied on conventional approaches such as ink-jet printing, screen printing, and electron-beam lithography, whose limitations have hampered rapid innovations and broad applications of conducting polymers. Here we introduce a high-performance 3D printable conducting polymer ink based on poly(3,4-ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS) for 3D printing of conducting polymers. The resultant superior printability enables facile fabrication of conducting polymers into high resolution and high aspect ratio microstructures, which can be integrated with other materials such as insulating elastomers via multi-material 3D printing. The 3D-printed conducting polymers can also be converted into highly conductive and soft hydrogel microstructures. We further demonstrate fast and streamlined fabrications of various conducting polymer devices, such as a soft neural probe capable of in vivo single-unit recording.

451 citations


Journal ArticleDOI
TL;DR: Five industrial photocuring 3D printing technologies are introduced, which are SLA, DLP, LCD, CLIP and MJP, and the characters of the materials and the progress of the application of the technique in the biomedical field is overviewed.

381 citations


Reference BookDOI
Bruce W. Smith, Kazuaki Suzuki1
01 May 2020
TL;DR: In this article, the authors present an overview of optical steppers and scanners for advanced micro-lithography systems and their applications in critical-dimensional metrology for integrated-circuit technology.
Abstract: EXPOSURE SYSTEM System Overview of Optical Steppers and Scanners Michael S. Hibbs Optical Lithography Modeling Chris A. Mack Optics for Photolithography Bruce W. Smith Excimer Laser for Advanced Microlithography Palash Das Alignment and Overlay Gregg M. Gallatin Electron Beam Lithography System Kazuaki Suzuki X-Ray Lithography Takumi Ueno EUV Lithography Stefan Wurm and Charles Gwyn Imprint Lithography Douglas J. Resnick RESISTS AND PROCESSING Chemistry of Photoresist Materials Takumi Ueno and Robert D. Allen Resist Processing Bruce W. Smith Multilayer Resist Technology Bruce W. Smith and Maureen Hanratty Dry Etching of Photoresists Roderick R. Kunz METROLOGY AND NANOLITHOGRAPHY Critical-Dimensional Metrology for Integrated-Circuit Technology Herschel M. Marchman, Gian Lorusso, Mike Adel, and Sanjay Yedur Electron Beam Nanolithography Elizabeth A. Dobisz, Zvonimir Z. Bandic, and Martin C. Peckerar Index

219 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrate high-performance metasurface optical components that operate at ultraviolet wavelengths, including wavelengths down to the record short deep ultraviolet range, and perform representative wavefront shaping functions, namely, high-numerical-aperture lensing, accelerating beam generation, and hologram projection.
Abstract: Shrinking conventional optical systems to chip-scale dimensions will benefit custom applications in imaging, displaying, sensing, spectroscopy, and metrology. Towards this goal, metasurfaces-planar arrays of subwavelength electromagnetic structures that collectively mimic the functionality of thicker conventional optical elements-have been exploited at frequencies ranging from the microwave range up to the visible range. Here, we demonstrate high-performance metasurface optical components that operate at ultraviolet wavelengths, including wavelengths down to the record-short deep ultraviolet range, and perform representative wavefront shaping functions, namely, high-numerical-aperture lensing, accelerating beam generation, and hologram projection. The constituent nanostructured elements of the metasurfaces are formed of hafnium oxide-a loss-less, high-refractive-index dielectric material deposited using low-temperature atomic layer deposition and patterned using high-aspect-ratio Damascene lithography. This study opens the way towards low-form factor, multifunctional ultraviolet nanophotonic platforms based on flat optical components, enabling diverse applications including lithography, imaging, spectroscopy, and quantum information processing.

116 citations


Journal ArticleDOI
TL;DR: A team of Chinese researchers has used ultrafast femtosecond laser plasmonic lithography (FPL) to create subwavelength grates on GO films 140 nanometres thick, which has, for the first, demonstrated the practical use of FPL for producing structures on two-dimensional films of GO for use in novel devices for applications in optoelectronics.
Abstract: Micro/nanoprocessing of graphene surfaces has attracted significant interest for both science and applications due to its effective modulation of material properties, which, however, is usually restricted by the disadvantages of the current fabrication methods. Here, by exploiting cylindrical focusing of a femtosecond laser on graphene oxide (GO) films, we successfully produce uniform subwavelength grating structures at high speed along with a simultaneous in situ photoreduction process. Strikingly, the well-defined structures feature orientations parallel to the laser polarization and significant robustness against distinct perturbations. The proposed model and simulations reveal that the structure formation is based on the transverse electric (TE) surface plasmons triggered by the gradient reduction of the GO film from its surface to the interior, which eventually results in interference intensity fringes and spatially periodic interactions. Further experiments prove that such a regular structured surface can cause enhanced optical absorption (>20%) and an anisotropic photoresponse (~0.46 ratio) for the reduced GO film. Our work not only provides new insights into understanding the laser-GO interaction but also lays a solid foundation for practical usage of femtosecond laser plasmonic lithography, with the prospect of expansion to other two-dimensional materials for novel device applications.

93 citations


Journal ArticleDOI
TL;DR: This work presents a nanofabrication strategy to create submicron-scale, all-soft electronic devices based on eutectic gallium-indium alloy (EGaIn) using a hybrid method utilizing electron-beam lithography and soft lithography, resulting in the highest resolution EGaIn patterning technique to date.
Abstract: Innovations in soft material synthesis and fabrication technologies have led to the development of integrated soft electronic devices. Such soft devices offer opportunities to interact with biological cells, mimicking their soft environment. However, existing fabrication technologies cannot create the submicron-scale, soft transducers needed for healthcare and medical applications involving single cells. This work presents a nanofabrication strategy to create submicron-scale, all-soft electronic devices based on eutectic gallium-indium alloy (EGaIn) using a hybrid method utilizing electron-beam lithography and soft lithography. The hybrid lithography process is applied to a biphasic structure, comprising a metallic adhesion layer coated with EGaIn, to create soft nano/microstructures embedded in elastomeric materials. Submicron-scale EGaIn thin-film patterning with feature sizes as small as 180 nm and 1 μm line spacing was achieved, resulting in the highest resolution EGaIn patterning technique to date. The resulting soft and stretchable EGaIn patterns offer a currently unrivaled combination of resolution, electrical conductivity, and electronic/wiring density. Though all-soft electronic devices with liquid metals are attractive due to the material’s compatibility with patterning methods, obtaining sub-micron patterns remains a challenge. Here, the authors report a hybrid lithography process to realize all-soft submicron-scale devices based on EGaIn.

89 citations


Journal ArticleDOI
TL;DR: A new SMP photoresist based on Vero Clear achieving print features at a resolution of ~300 nm half pitch using two-photon polymerization lithography (TPL) enabled the study of shape memory effects to achieve large visual shifts through nanoscale structure deformation.
Abstract: Four-dimensional (4D) printing of shape memory polymer (SMP) imparts time responsive properties to 3D structures. Here, we explore 4D printing of a SMP in the submicron length scale, extending its applications to nanophononics. We report a new SMP photoresist based on Vero Clear achieving print features at a resolution of ~300 nm half pitch using two-photon polymerization lithography (TPL). Prints consisting of grids with size-tunable multi-colours enabled the study of shape memory effects to achieve large visual shifts through nanoscale structure deformation. As the nanostructures are flattened, the colours and printed information become invisible. Remarkably, the shape memory effect recovers the original surface morphology of the nanostructures along with its structural colour within seconds of heating above its glass transition temperature. The high-resolution printing and excellent reversibility in both microtopography and optical properties promises a platform for temperature-sensitive labels, information hiding for anti-counterfeiting, and tunable photonic devices.

73 citations


Journal ArticleDOI
TL;DR: These last developments may lead to unprecedented changes in lithographic technology but can also strongly affect other scientific areas where electron-induced chemistry plays a critical role.
Abstract: The need for decreasing semiconductor device critical dimensions at feature sizes below the 20 nm resolution limit has led the semiconductor industry to adopt extreme ultra violet (EUV) lithography with exposure at 13.5 nm as the main next generation lithographic technology. The broad consensus on this direction has triggered a dramatic increase of interest on resist materials of high sensitivity especially designed for use in the EUV spectral region in order to meet the strict requirements needed for overcoming the source brightness issues and securing the cost efficiency of the technology. To this direction both fundamental studies on the radiation induced chemistry in this spectral area and a plethora of new ideas targeting at the design of new highly sensitive and top performing resists have been proposed. Besides the traditional areas of acid-catalyzed chemically amplified resists and the resists based on polymer backbone breaking new unconventional ideas have been proposed based on the insertion of metal compounds or compounds of other highly absorbing at EUV atoms in the resist formulations. These last developments are reviewed here. Since the effort targets to a new understanding of electron-induced chemical reactions that dominate the resist performance in this region these last developments may lead to unprecedented changes in lithographic technology but can also strongly affect other scientific areas where electron-induced chemistry plays a critical role.

60 citations


Journal ArticleDOI
TL;DR: Real 3D nanoprinting as demonstrated here opens up exciting avenues for the study and exploitation of 3D nanoscale phenomena.
Abstract: The fabrication of three-dimensional (3D) nanostructures is of great interest to many areas of nanotechnology currently challenged by fundamental limitations of conventional lithography. One of the...

60 citations


Journal ArticleDOI
Chaoyun Luo1, Chanchan Xu1, Le Lv1, Hai Li1, Xiaoxi Huang1, Wei Liu1 
TL;DR: In this paper, a review of inorganic resistors for extreme ultraviolet radiation (EUV) lithography is presented, focusing on metal oxide resistors with high resolution and sensitivity for EUV lithography.
Abstract: The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking of features has to a large extent been enabled by the development of advanced photolithographic techniques. This review focuses on one important component of lithography, the resist, which is essentially a thin film that can generate a specific feature after an exposure and development process. Smaller features require an even more precisely focused photon, electron or ion beam with which to expose the resist. The promising light source for next generation lithography that will enable downscaling patterns to be written is extreme ultraviolet radiation (EUV), 92 eV (13.5 nm). The review mainly focuses on inorganic resists, as they have several advantages compared with traditional organic resists. In order to satisfy the throughput requirement in high volume semiconductor manufacturing, metal oxide resists with high resolution and sensitivity have been proposed and developed for EUV lithography. The progress of various inorganic resists is introduced and their properties have been summarized.

57 citations


Journal ArticleDOI
24 Jul 2020-ACS Nano
TL;DR: Leveraging on the advantages of additive manufacturing inherent to TPL, the 3D printed optical devices can be applied for precise wavefront shaping, with great potential in all-optical machine learning, virtual reality, motion sensing, and medical imaging.
Abstract: Diffractive optical elements (DOEs) are widely applied as compact solutions to generate desired optical patterns in the far field by wavefront shaping. They consist of microscopic structures of varying heights to control the phase of either reflected or transmitted light. However, traditional methods to achieve varying thicknesses of structures for DOEs are tedious, requiring multiple aligned lithographic steps each followed by an etching process. Additionally, the reliance on photomasks precludes rapid prototyping and customization in manufacturing complex and multifunctional surface profiles. To achieve this, we turn to nanoscale 3D printing based on two-photon polymerization lithography (TPL). However, TPL systems lack the precision to pattern diffractive components where subwavelength variations in height and position could lead to observable loss in diffraction efficiency. Here, we employed a lumped TPL parametric model and a workaround patterning strategy to achieve precise 3D printing of DOEs using optimized parameters for laser power, beam scan speed, hatching distance, and slicing distance. In our case study, millimeter scale near-perfect Dammann gratings were fabricated with measured diffraction efficiencies near theoretical limits, laser spot array nonuniformity as low as 1.4%, and power ratio of the zero-order spot as low as 0.4%. Leveraging on the advantages of additive manufacturing inherent to TPL, the 3D-printed optical devices can be applied for precise wavefront shaping, with great potential in all-optical machine learning, virtual reality, motion sensing, and medical imaging.

Journal ArticleDOI
TL;DR: In this paper, a review of the metasurface process platforms and functional devices fabricated using complementary metaloxide-semiconductor-compatible mass manufacturing technologies is presented, taking both fine critical dimension and mass production into account.
Abstract: Abstract A metasurface is a layer of subwavelength-scale nanostructures that can be used to design functional devices in ultrathin form. Various metasurface-based optical devices – coined as flat optics devices – have been realized with distinction performances in research laboratories using electron beam lithography. To make such devices mass producible at low cost, metasurfaces over a large area have also been defined with lithography steppers and scanners, which are commonly used in semiconductor foundries. This work reviews the metasurface process platforms and functional devices fabricated using complementary metal-oxide-semiconductor-compatible mass manufacturing technologies. Taking both fine critical dimension and mass production into account, the platforms developed at the Institute of Microelectronics (IME), A*STAR using advanced 12-inch immersion lithography have been presented with details, including process flow and demonstrated optical functionalities. These developed platforms aim to drive the flat optics from lab to fab.

Journal ArticleDOI
TL;DR: Recently, several easy and cost-effective lithographic approaches have been reported to produce ≈10 nm scale patterns without defects over large areas, including a method of reducing the size using the narrow edge of a pattern, which has been attracting attention for the past several decades.
Abstract: The development of a simple and cost-effective method for fabricating ≈10 nm scale nanopatterns over large areas is an important issue, owing to the performance enhancement such patterning brings to various applications including sensors, semiconductors, and flexible transparent electrodes. Although nanoimprinting, extreme ultraviolet, electron beams, and scanning probe litho-graphy are candidates for developing such nanopatterns, they are limited to complicated procedures with low throughput and high startup cost, which are difficult to use in various academic and industry fields. Recently, several easy and cost-effective lithographic approaches have been reported to produce ≈10 nm scale patterns without defects over large areas. This includes a method of reducing the size using the narrow edge of a pattern, which has been attracting attention for the past several decades. More recently, secondary sputtering lithography using an ion-bombardment technique was reported as a new method to create high-resolution and high-aspect-ratio structures. Recent progress in simple and cost-effective top-down lithography for ≈10 nm scale nanopatterns via edge and secondary sputtering techniques is reviewed. The principles, technical advances, and applications are demonstrated. Finally, the future direction of edge and secondary sputtering lithography research toward issues to be resolved to broaden applications is discussed.

Journal ArticleDOI
19 Oct 2020
TL;DR: In this article, a solution-processed zinc oxide Schottky diodes that can operate in microwave and millimetre-wave frequency bands are presented. But, combining high performance with cost-effective scalable manufacturing has proved challenging.
Abstract: Inexpensive radio-frequency devices that can meet the ultrahigh-frequency needs of fifth- and sixth-generation wireless telecommunication networks are required. However, combining high performance with cost-effective scalable manufacturing has proved challenging. Here, we report the fabrication of solution-processed zinc oxide Schottky diodes that can operate in microwave and millimetre-wave frequency bands. The fully coplanar diodes are prepared using wafer-scale adhesion lithography to pattern two asymmetric metal electrodes separated by a gap of around 15 nm, and are completed with the deposition of a zinc oxide or aluminium-doped ZnO layer from solution. The Schottky diodes exhibit a maximum intrinsic cutoff frequency in excess of 100 GHz, and when integrated with other passive components yield radio-frequency energy-harvesting circuits that are capable of delivering output voltages of 600 mV and 260 mV at 2.45 GHz and 10 GHz, respectively. Nanoscale electrodes fabricated using adhesion lithography can be combined with solution-processed metal oxide semiconductors to create Schottky diodes with performance suitable for 5G communications and beyond.

Journal ArticleDOI
TL;DR: In this article, the authors focus on a lithography-based method for β-SiAlON ceramics derived from silicon nitride and have excellent material properties for high temperature applications, such as high relative density (99.8%), biaxial strength (σf = 764 MPa), and hardness (HV10 = 1500).
Abstract: Due to the high level of light absorption and light scattering of dark colored powders connected with the high refractive indices of ceramic particles, the majority of ceramics studied via stereolithography (SLA) have been light in color, including ceramics such as alumina, zirconia and tricalcium phosphate. This article focuses on a lithography-based ceramic manufacturing (LCM) method for β-SiAlON ceramics that are derived from silicon nitride and have excellent material properties for high temperature applications. This study demonstrates the general feasibility of manufacturing of silicon nitride-based ceramic parts by LCM for the first time and combines the advantages of SLA, such as the achievable complexity and low surface roughness (Ra = 0.50 µm), with the typical properties of conventionally manufactured silicon nitride-based ceramics, such as high relative density (99.8%), biaxial strength (σf = 764 MPa), and hardness (HV10 = 1500).

Journal ArticleDOI
TL;DR: This study has shown that by adding dummy structures to reinforce the main channels, optimizing the gap between the dummy and main structures, and dissolving the support wax on a PDMS slab to minimize the additional handling steps, one can make various non-conventional microchannels.
Abstract: Inertial microfluidics has emerged over the past decade as a powerful tool to accurately control cells and microparticles for diverse biological and medical applications. Many approaches have been proposed to date in order to increase the efficiency and accuracy of inertial microfluidic systems. However, the effects of channel cross-section and solution properties (Newtonian or non-Newtonian) have not been fully explored, primarily due to limitations in current microfabrication methods. In this study, we overcome many of these limitations using wax 3D printing technology and soft lithography through a novel workflow, which eliminates the need for the use of silicon lithography and polydimethylsiloxane (PDMS) bonding. We have shown that by adding dummy structures to reinforce the main channels, optimizing the gap between the dummy and main structures, and dissolving the support wax on a PDMS slab to minimize the additional handling steps, one can make various non-conventional microchannels. These substantially improve upon previous wax printed microfluidic devices where the working area falls into the realm of macrofluidics rather than microfluidics. Results revealed a surface roughness of 1.75 μm for the printed channels, which does not affect the performance of inertial microfluidic devices used in this study. Channels with complex cross-sections were fabricated and then analyzed to investigate the effects of viscoelasticity and superposition on the lateral migration of the particles. Finally, as a proof of concept, microcarriers were separated from human mesenchymal stem cells using an optimized channel with maximum cell-holding capacity, demonstrating the suitability of these microchannels in the bioprocessing industry.

Journal ArticleDOI
TL;DR: The article moves on to consider how non-linear optical techniques and post-processing solutions can be used to realise structures with a feature size below 100 nm, before comparing two-photon lithography with other direct write methodologies and providing a discussion on future developments.
Abstract: Three-dimensional nanostructured magnetic materials have recently been the topic of intense interest since they provide access to a host of new physical phenomena. Examples include new spin textures that exhibit topological protection, magnetochiral effects and novel ultrafast magnetic phenomena such as the spin-Cherenkov effect. Two-photon lithography is a powerful methodology that is capable of realising 3D polymer nanostructures on the scale of 100 nm. Combining this with postprocessing and deposition methodologies allows 3D magnetic nanostructures of arbitrary geometry to be produced. In this article, the physics of two-photon lithography is first detailed, before reviewing the studies to date that have exploited this fabrication route. The article then moves on to consider how non-linear optical techniques and post-processing solutions can be used to realise structures with a feature size below 100 nm, before comparing two-photon lithography with other direct write methodologies and providing a discussion on future developments.

Journal ArticleDOI
TL;DR: A simplified reaction model is proposed where the resist undergoes sequential chemical reactions, first yielding a sparsely cross-linked network, then a more densely cross- linked network, and this model is consistent with the observed EUV-sensitivity of tin-oxo cages.
Abstract: Extreme ultraviolet (EUV) lithography (13.5 nm) is the newest technology that allows high-throughput fabrication of electronic circuitry in the sub-20 nm scale. It is commonly assumed that low-ener...

Journal ArticleDOI
TL;DR: In this paper, the authors reviewed the current lithography methods that can be used in the preparation of nanostructures in the scientific community and discussed their limitations and development trends.
Abstract: The preparation of high-precision nanostructures is an advanced technology in the scientific community and has very important application prospects. This paper first reviews the current lithography methods that can be used in the preparation of nanostructures in the scientific community and discusses their limitations and development trends. Next, the high-resolution scanning probe lithography (SPL) technology that can be used for sub-tenth-level precision lithography is introduced, and the SPL is mainly divided into three parts according to different processing properties while fully demonstrating that it is superior to other methods. Then, their principles, research status, advantages and disadvantages are also outlined in every section. Finally, the existing problems of scanning probe microscope the future development direction of SPL are pointed out.

Journal ArticleDOI
TL;DR: The direct patterning of colors using the bombardment of a focused beam of electrons onto a thin-film stack consisting of polymethyl methacrylate coated with a thin nickel film is demonstrated, resulting directly in a color print without the need for pre-patterned substrates.
Abstract: The direct patterning of colors using the bombardment of a focused beam of electrons onto a thin-film stack consisting of poly(methyl methacrylate) coated with a thin nickel film is demonstrated. This direct electron-beam color printing approach creates variations in the height of a Fabry-Perot (FP) cavity, resulting directly in a color print without the need for prepatterned substrates, distinct from some direct laser writing methods. Notably, the resolution of the color prints is defined by the electron beam. Height measurements with ∼5 nm accuracy through color image analysis of an electron-beam-patterned FP cavity were carried out. This technique also introduces a reflectance-based measurement of the point exposure function of a focused electron beam, aiding in rapid proximity effect corrections. In addition, the grayscale lithographic nature of this process was used to produce blazed gratings and could enable the fabrication of other 2.5D nanostructures with precise height control.

Journal ArticleDOI
TL;DR: The development of a laser direct writing (LDW) lithography technique with ~5 nm feature size, which is about 1/55 of the optical diffraction limit of the LDW system, and the realization of 5 nm nanogap electrodes are described, establishing that such low-cost and high-efficient lithography technology has great potential to fabricate compact integrated circuits and biochips.
Abstract: The development of reliable, mass-produced, and cost-effective sub-10 nm nanofabrication technology leads to an unprecedented level of integration of photonic devices. In this work, we describe the development of a laser direct writing (LDW) lithography technique with ∼5 nm feature size, which is about 1/55 of the optical diffraction limit of the LDW system (405 nm laser and 0.9 NA objective), and the realization of 5 nm nanogap electrodes. This LDW lithography exhibits an attractive capability of well-site control and mass production of ∼5 × 105 nanogap electrodes per hour, breaking the trade-off between resolution and throughput in a nanofabrication technique. Nanosensing chips have been demonstrated with the as-obtained nanogap electrodes, where controllable surface enhancement Raman scattering of rhodamine 6G has been realized via adjusting the gap width and, especially, the applied bias voltages. Our results establish that such a low-cost and high-efficient lithography technology has great potential to fabricate compact integrated circuits and biochips.

Journal ArticleDOI
TL;DR: This review covers experimental results of evaporative lithography and analyzes existing mathematical models of this method, which is useful for creating materials with localized functions, such as slipperiness and self-healing.

Journal ArticleDOI
TL;DR: This work introduces an on-chip fabrication paradigm based on shadow walls that offers substantial advances in device quality and reproducibility and allows for the implementation of hybrid quantum devices and ultimately topological qubits while eliminating fabrication steps such as lithography and etching.
Abstract: The realization of a topological qubit calls for advanced techniques to readily and reproducibly engineer induced superconductivity in semiconductor nanowires. Here, we introduce an on-chip fabrication paradigm based on shadow walls that offers substantial advances in device quality and reproducibility. It allows for the implementation of novel quantum devices and ultimately topological qubits while eliminating many fabrication steps such as lithography and etching. This is critical to preserve the integrity and homogeneity of the fragile hybrid interfaces. The approach simplifies the reproducible fabrication of devices with a hard induced superconducting gap and ballistic normal-/superconductor junctions. Large gate-tunable supercurrents and high-order multiple Andreev reflections manifest the exceptional coherence of the resulting nanowire Josephson junctions. Our approach enables, in particular, the realization of 3-terminal devices, where zero-bias conductance peaks emerge in a magnetic field concurrently at both boundaries of the one-dimensional hybrids.

Journal ArticleDOI
12 Aug 2020
TL;DR: Directed self-assembly (DSA) emerges as one of the most promising new patterning techniques for single digit miniaturization and next generation lithography as discussed by the authors, achieving high-resolution patterning by molecular assembly that circumvents the diffraction limit of conventional photolithography.
Abstract: Directed self-assembly (DSA) emerges as one of the most promising new patterning techniques for single digit miniaturization and next generation lithography. DSA achieves high-resolution patterning by molecular assembly that circumvents the diffraction limit of conventional photolithography. Recently, the International Roadmap for Devices and Systems listed DSA as one of the advanced lithography techniques for the fabrication of 3–5 nm technology node devices. DSA can be combined with other lithography techniques, such as extreme ultra violet (EUV) and 193 nm immersion (193i), to further enhance the patterning resolution and the device density. So far, DSA has demonstrated its superior ability for the fabrication of nanoscale devices, such as fin field effect transistor and bit pattern media, offering a variety of configurations for high-density integration and low-cost manufacturing. Over 1 T in−2 device density can be achieved either by direct templating or coupled with nanoimprinting to improve the throughput. The development of high χ block copolymer further enhances the patterning resolution of DSA. In addition to its superiority in high-resolution patterning, the implementation of DSA on a 300 mm pivot line fully demonstrates its potential for large-scale, high-throughput, and cost-effective manufacturing in industrial environment.

Journal ArticleDOI
TL;DR: This is the first report demonstrating quick lithography and high-resolution printing of DN (covalent and ionic) gel within minutes, and shows that strain and the elastic modulus of printed structures can be tuned based on the hydrogel composition, crosslinker and photoinitiator concentrations, and laser light intensity.
Abstract: Double-network (DN) hydrogels, with their unique combination of mechanical strength and toughness, have emerged as promising materials for soft robotics and tissue engineering. In the past decade, ...

Journal ArticleDOI
TL;DR: A capillary-force-induced collapse lithography (CCL) technique, which exploits the capillary force to precisely control the collapse of micro/nanostructures and aims to achieve sub-10-nm plasmonic nanogap structures that promote extremely strong focusing of light.
Abstract: The capillary force effect is one of the most important fabrication parameters that must be considered at the micro/nanoscale because it is strong enough to deform micro/nanostructures. However, the deformation of micro/nanostructures due to such capillary forces (e.g., stiction and collapse) has been regarded as an undesirable and uncontrollable obstacle to be avoided during fabrication. Here, we present a capillary-force-induced collapse lithography (CCL) technique, which exploits the capillary force to precisely control the collapse of micro/nanostructures. CCL uses electron-beam lithography, so nanopillars with various shapes can be fabricated by precisely controlling the capillary-force-dominant cohesion process and the nanopillar-geometry-dominant collapse process by adjusting the fabrication parameters such as the development time, electron dose, and shape of the nanopillars. CCL aims to achieve sub-10-nm plasmonic nanogap structures that promote extremely strong focusing of light. CCL is a simple and straightforward method to realize such nanogap structures that are needed for further research such as on plasmonic nanosensors. An innovative technique—capillary-force-induced collapse lithography (CCL)—has been developed to easily fabricate plasmonic nano-gap structures, which with a nano-gap of <10 nm are able to compress light and dramatically increase the intensity of electric fields. Deformation of nanostructures due to capillary forces (e.g., collapse) has been a considerable obstacle in fabricating plasmonic nano-gap structures. However, a team headed by Junsuk Rho at Pohang University of Science and Technology (POSTECH), Republic of Korea developed CCL, which exploits capillary forces to precisely control the collapse of the nanostructures. CCL allows the easy fabrication of sub-10-nm plasmonic nano-gap structures, which are difficult to achieve with conventional lithography. The authors believe that their simple, straightforward CCL technique will pave the way toward nano-manufacturing technology that enables the effective production of sub-10-nm plasmonic nano-gap structures on demand.

Journal ArticleDOI
09 Jul 2020-ACS Nano
TL;DR: A superantiwetting surface based on low-aspect-ratio hierarchical cylinder arrays (HCAs) was successfully obtained on a silica substrate by colloidal lithography with photolithography, and the physical structure of the HCAs can be tuned by modulating the fabrication approach.
Abstract: A super-antiwetting surface based on low-aspect-ratio hierarchical cylinder arrays (HCAs) was successfully obtained on a silica substrate by colloidal lithography with photolithography. Colloidal l...

Journal ArticleDOI
TL;DR: The Ni-MOCs based resist investigated under HIBL and EBL elucidates the ability of its potential for sub-10 nm technology node, under standard processing conditions.
Abstract: Hybrid metal–organic cluster resist materials, also termed as organo-inorganics, demonstrate their potential for use in next-generation lithography owing to their ability for patterning down to ∼10...

Posted Content
TL;DR: In this article, the authors applied inverse design to create a multi-level diffractive lens (MDL) with thickness < 1.35m, diameter of 4.13mm, and NA = 0.9 at wavelength of 850nm.
Abstract: Flat lenses enable thinner, lighter, and simpler imaging systems. However, large-area and high-NA flat lenses have been elusive due to computational and fabrication challenges. Here, we applied inverse design to create a multi-level diffractive lens (MDL) with thickness <1.35{\mu}m, diameter of 4.13mm, NA=0.9 at wavelength of 850nm. Since the MDL is created in polymer, it can be cost-effectively replicated via imprint lithography.

Journal ArticleDOI
Yi Gao1, Yi Gao2, Lei Xu, Yang Zhao1, Zhengwei You1, Qingbao Guan1 
TL;DR: The simulation of photopolymerization for SL 3D printing successfully realized visualization of printing quality before practically printing the targeted biomedical objects with complex topology structures.