scispace - formally typeset
Search or ask a question

Showing papers on "Low-power electronics published in 2005"


Journal ArticleDOI
03 Jan 2005
TL;DR: New subthreshold logic and memory design methodologies are developed and demonstrated on a fast Fourier transform (FFT) processor that is designed to investigate the estimated minimum energy point.
Abstract: In emerging embedded applications such as wireless sensor networks, the key metric is minimizing energy dissipation rather than processor speed. Minimum energy analysis of CMOS circuits estimates the optimal operating point of clock frequencies, supply voltage, and threshold voltage according to A. Chandrakasan et al. (see ibid., vol.27, no.4, p.473-84, Apr. 1992). The minimum energy analysis shows that the optimal power supply typically occurs in subthreshold (e.g., supply voltages that are below device thresholds). New subthreshold logic and memory design methodologies are developed and demonstrated on a fast Fourier transform (FFT) processor. The FFT processor uses an energy-aware architecture that allows for variable FFT length (128-1024 point), variable bit-precision (8 b and 16 b) and is designed to investigate the estimated minimum energy point. The FFT processor is fabricated using a standard 0.18-/spl mu/m CMOS logic process and operates down to 180 mV. The minimum energy point for the 16-b 1024-point FFT processor occurs at 350-mV supply voltage where it dissipates 155 nJ/FFT at a clock frequency of 10 kHz.

619 citations


Journal ArticleDOI
TL;DR: It is shown that minimum sized devices are theoretically optimal for reducing energy, and existing standard cell libraries offer a good solution for minimizing energy in subthreshold circuits.
Abstract: This paper examines energy minimization for circuits operating in the subthreshold region. Subthreshold operation is emerging as an energy-saving approach to many energy-constrained applications where processor speed is less important. In this paper, we solve equations for total energy to provide an analytical solution for the optimum V/sub DD/ and V/sub T/ to minimize energy for a given frequency in subthreshold operation. We show the dependence of the optimum V/sub DD/ for a given technology on design characteristics and operating conditions. This paper also examines the effect of sizing on energy consumption for subthreshold circuits. We show that minimum sized devices are theoretically optimal for reducing energy. A fabricated 0.18-/spl mu/m test chip is used to compare normal sizing and sizing to minimize operational V/sub DD/ and to verify the energy models. Measurements show that existing standard cell libraries offer a good solution for minimizing energy in subthreshold circuits.

523 citations


Journal ArticleDOI
03 Jan 2005
TL;DR: In this paper, the gate-leakage mismatch exceeds conventional matching tolerances, and the drop in supply voltages can solve this problem by exploiting combinations of thin and thick-oxide transistors.
Abstract: Modern and future ultra-deep-submicron (UDSM) technologies introduce several new problems in analog design. Nonlinear output conductance in combination with reduced voltage gain pose limits in linearity of (feedback) circuits. Gate-leakage mismatch exceeds conventional matching tolerances. Increasing area does not improve matching any more, except if higher power consumption is accepted or if active cancellation techniques are used. Another issue is the drop in supply voltages. Operating critical parts at higher supply voltages by exploiting combinations of thin- and thick-oxide transistors can solve this problem. Composite transistors are presented to solve this problem in a practical way. Practical rules of thumb based on measurements are derived for the above phenomena.

425 citations


Proceedings ArticleDOI
05 Dec 2005
TL;DR: In this article, a nonvolatile resistive switching mechanism based on trap-related space charge-limited-conduction (SCLC) is proposed for high density and low cost memory applications.
Abstract: A non-volatile resistive switching mechanism based on trap-related space-charge-limited-conduction (SCLC) is proposed Excellent memory characteristics have been demonstrated using near-stoichiometric cuprous oxide (CuxO) metal-insulator-metal (MIM) structures: low-power operation, fast switching speed, superior temperature characteristics, and long retention This MIM memory cell is fully compatible with standard CMOS process The proposed switching mechanism is a strong contender for high density and low cost memory applications

383 citations


Journal ArticleDOI
TL;DR: In this article, a transformer-feedback voltage-controlled oscillator (TF-VCO) is proposed to achieve low-phase-noise and low-power designs even at a supply below the threshold voltage.
Abstract: A transformer-feedback voltage-controlled oscillator (TF-VCO) is proposed to achieve low-phase-noise and low-power designs even at a supply below the threshold voltage. The advantages of the proposed TF-VCO are described together with its detailed analysis and its cyclo-stationary characteristic. Two prototypes using the proposed TF-VCO techniques are demonstrated in a standard 0.18-/spl mu/m CMOS process. The first design using two single-ended transformers is operated at 1.4 GHz at a 0.35-V supply using PMOS transistors whose threshold voltage is around 0.52 V. The power consumption is 1.46 mW while the measured phase noise is -128.6 dBc/Hz at 1-MHz frequency offset. Using an optimum differential transformer to maximize quality factor and to minimize the chip area, the second design is operated at 3.8 GHz at a 0.5-V supply with power consumption of 570 /spl mu/W and a measured phase noise of -119 dBc/Hz at 1-MHz frequency offset. The figures of merits are comparable or better to that of other state-of-the-art VCO designs operating at much higher supply voltage.

309 citations


Journal ArticleDOI
TL;DR: Simulations indicated that the novel transistor based on the field-effect control of impact-ionization (I-MOS) has the potential to replace CMOS in high performance and low power digital applications.
Abstract: One of the fundamental problems in the continued scaling of transistors is the 60 mV/dec room temperature limit in the subthreshold slope. In part I this work, a novel transistor based on the field-effect control of impact-ionization (I-MOS) is explored through detailed device and circuit simulations. The I-MOS uses gated-modulation of the breakdown voltage of a p-i-n diode to switch from the OFF state to the ON state and vice-versa. Device simulations using MEDICI show that the I-MOS has a subthreshold slope of 5 mV/dec or lower and I/sub ON/>1 mA//spl mu/m at 400 K. Simulations were used to further explore the characteristics of the I-MOS including the transients of the turn-on mechanism, the short-channel effect, scalability, and other important device attributes. Circuit mode simulations were also used to explore circuit design using I-MOS devices and the design of an I-MOS inverter. These simulations indicated that the I-MOS has the potential to replace CMOS in high performance and low power digital applications. Part II of this work focuses on I-MOS experimental results with emphasis on hot carrier effects, germanium p-i-n data and breakdown in recessed structure devices.

274 citations


Proceedings ArticleDOI
08 Aug 2005
TL;DR: It is shown that, unlike superthreshold circuits, random dopant fluctuation is the dominant component of variation in subthreshold operation and the energy optimal supply voltage increases due to process variations and its dependence on circuit parameters.
Abstract: Subthreshold circuit design is a compelling method for ultra-low power applications. However, subthreshold designs show dramatically increased sensitivity to process variations due to the exponential relationship of subthreshold drive current with V/sub th/ variation. In this paper, we present an analysis of subthreshold energy efficiency considering process variation, and propose methods to mitigate its impact. We show that, unlike superthreshold circuits, random dopant fluctuation is the dominant component of variation in subthreshold operation. We investigate how this variability can be ameliorated with proper circuit sizing and choice of circuit logic depth. We then present a statistical analysis of the energy efficiency of subthreshold circuits considering process variations. We show that the energy optimal supply voltage increases due to process variations and study its dependence on circuit parameters. We verify our analytical models against Monte Carlo SPICE simulations and show that they accurately predict the minimum energy and energy optimal supply voltage. Finally, we use the developed statistical energy model to determine the optimal pipelining depth in subthreshold designs.

237 citations


Journal ArticleDOI
TL;DR: It is shown that UWB performs better in the short range due to a reduced baseline power consumption, and the very little hardware complexity of a UWB transmitter offers the potential for low-cost and highly integrated solutions.
Abstract: The successful realization of a wireless body area network (WBAN) requires innovative solutions to meet the energy consumption budget of the autonomous sensor nodes. The radio interface is a major challenge, since its power consumption must be reduced below 100 /spl mu/W (energy scavenging limit). The emerging ultra-wide-band (UWB) technology shows strong advantages in reaching this target. First, most of the complexity of an UWB system is in the receiver, which is a perfect scenario in the WBAN context. Second, the very little hardware complexity of a UWB transmitter offers the potential for low-cost and highly integrated solutions. Finally, in a pulse-based UWB scheme, the transmitter can be duty-cycled at the pulse rate, thereby reducing the baseline power consumption. We present a low-power UWB transmitter that can be fully integrated in standard CMOS technology. Measured performances of a fully integrated pulse generator are provided, showing the potential of UWB for low power and low cost implementations. Finally, using a WBAN channel model, we present a comparison between our UWB solution and state-of-the-art low-power narrow-band implementations. This paper shows that UWB performs better in the short range due to a reduced baseline power consumption.

228 citations


Journal ArticleDOI
TL;DR: This work presents an intraprocess dynamic voltage and frequency scaling (DVFS) technique targeted toward nonreal-time applications running on an embedded system platform that relies on dynamically constructed regression models that allow the CPU to calculate the expected workload and slack time for the next time slot and adjust its Voltage and frequency in order to save energy, while meeting soft timing constraints.
Abstract: This work presents an intraprocess dynamic voltage and frequency scaling (DVFS) technique targeted toward nonreal-time applications running on an embedded system platform. The key idea is to make use of runtime information about the external memory access statistics in order to perform CPU voltage and frequency scaling with the goal of minimizing the energy consumption while translucently controlling the performance penalty. The proposed DVFS technique relies on dynamically constructed regression models that allow the CPU to calculate the expected workload and slack time for the next time slot and, thus, adjust its voltage and frequency in order to save energy, while meeting soft timing constraints. This is, in turn, achieved by estimating and exploiting the ratio of the total off-chip access time to the total on-chip computation time. The proposed technique has been implemented on an XScale-based embedded system platform and actual energy savings have been calculated by current measurements in hardware. For memory-bound programs, a CPU energy saving of more than 70% with a performance degradation of 12% was achieved. For CPU-bound programs, 15% /spl sim/ 60% CPU energy saving was achieved at the cost of 5%-20% performance penalty.

220 citations


Journal ArticleDOI
TL;DR: In this paper, a 70-Mb SRAM was designed and fabricated on a 65-nm CMOS technology, which features a 0.57-/spl mu/m/sup 2/6T SRAM cell with large noise margin down to 0.7 V for low-voltage operation.
Abstract: A 70-Mb SRAM is designed and fabricated on a 65-nm CMOS technology. It features a 0.57-/spl mu/m/sup 2/ 6T SRAM cell with large noise margin down to 0.7 V for low-voltage operation. The fully synchronized subarray contains an integrated leakage reduction scheme with dynamically controlled sleep transistor. SRAM virtual ground in standby is controlled by programmable bias transistors to achieve good voltage control with fine granularity under process skew. It also has a built-in programmable defect "screen" circuit for high volume manufacturing. The measurements showed that the SRAM leakage can be reduced by 3-5/spl times/ while maintaining the integrity of stored data.

216 citations


Journal ArticleDOI
TL;DR: In this article, a dynamic voltage and frequency management (DVFM) scheme was introduced in a microprocessor for handheld devices with wideband embedded DRAM, which reduces the power consumption effectively by cooperation of the autonomous clock frequency control and the adaptive supply voltage control.
Abstract: In this paper, a Dynamic Voltage and Frequency Management (DVFM) scheme introduced in a microprocessor for handheld devices with wideband embedded DRAM is reported. Our DVFM scheme reduces the power consumption effectively by cooperation of the autonomous clock frequency control and the adaptive supply voltage control. The clock frequency is controlled using hardware activity information to determine the minimum value required by the current processor load. This clock frequency control is realized without special power management software. The supply voltage is controlled according to the delay information provided from a delay synthesizer circuit, which consists of three programmable delay components, gate delay, RC delay and a rise/fall delay. The delay synthesizer circuit emulates the critical-path delay within 4% voltage accuracy over the full range of process deviation and voltage. This accurate tracking ability realizes the supply voltage scaling according to the fluctuation of the LSI's characteristic caused by the temperature and process deviation. The DVFM contributes not only the dynamic power reduction, but also the leakage power reduction. This microprocessor, fabricated in 0.18 μm CMOS embedded DRAM technology achieves 82% power reduction in a Personal Information Management scheduler (PIM) application and 40% power reduction in a MPEG4 movie playback application. As process technology shrinks, the DVFM scheme with leakage power compensation effect will become more important realizing in high-performance and low-power mobile consumer applications.

Proceedings ArticleDOI
16 May 2005
TL;DR: In this paper, the authors demonstrate smart wireless sensing nodes capable of operation at extremely low power levels using piezoelectric materials and/or solar cells, and demonstrate extremely low average power consumption.
Abstract: The objective of this work was to demonstrate smart wireless sensing nodes capable of operation at extremely low power levels. These systems were designed to be compatible with energy harvesting systems using piezoelectric materials and/or solar cells. The wireless sensing nodes included a microprocessor, on-board memory, sensing means (1000 ohm foil strain gauge), sensor signal conditioning, 2.4 GHz IEEE 802.15.4 radio transceiver, and rechargeable battery. Extremely low power consumption sleep currents combined with periodic, timed wake-up was used to minimize the average power consumption. Furthermore, we deployed pulsed sensor excitation and microprocessor power control of the signal conditioning elements to minimize the sensors’ average contribution to power draw. By sleeping in between samples, we were able to demonstrate extremely low average power consumption. At 10 Hz, current consumption was 300 microamps at 3 VDC (900 microwatts); at 5 Hz: 400 microwatts, at 1 Hz: 90 microwatts. When the RF stage was not used, but data were logged to memory, consumption was further reduced. Piezoelectric strain energy harvesting systems delivered ~2000 microwatts under low level vibration conditions. Output power levels were also measured from two miniature solar cells; which provided a wide range of output power (~100 to 1400 microwatts), depending on the light type & distance from the source. In summary, system power consumption may be reduced by: 1) removing the load from the energy harvesting & storage elements while charging, 2) by using sleep modes in between samples, 3) pulsing excitation to the sensing and signal conditioning elements in between samples, and 4) by recording and/or averaging, rather than frequently transmitting, sensor data.

Journal ArticleDOI
TL;DR: In this paper, a single-balanced passive mixer is proposed to mitigate the critical flicker noise problem that is frequently encountered in constituting direct-conversion receivers, which achieves an ultralow flicker-noise corner of 45 kHz with 6 dB more gain and much lower power and area consumption than the double-balanced counterpart.
Abstract: A CMOS passive mixer is designed to mitigate the critical flicker noise problem that is frequently encountered in constituting direct-conversion receivers. With a unique single-balanced passive mixer design, the resulted direct-conversion receiver achieves an ultralow flicker-noise corner of 45 kHz, with 6 dB more gain and much lower power and area consumption than the double-balanced counterpart. CMOS switches with a unique bias-shifting network to track the LO DC offset are devised to reduce the second-order intermodulation. Consequently, the mixer's IIP2 has been greatly enhanced by almost 21 dB from a traditional single-balanced passive mixer. An insertion compensation method is also implemented for effective dc offset cancellation. Fabricated in 0.18 /spl mu/m CMOS and measured at 5 GHz, this passive mixer obtains 3 dB conversion gain, 39 dBm IIP2, and 5 dBm IIP3 with LO driving at 0 dBm. When the proposed mixer is integrated in a direct-conversion receiver, the receiver achieves 29 dB overall gain and 5.3 dB noise figure.

Journal ArticleDOI
TL;DR: In this article, a low voltage, low power, AC-coupled folded-switching mixer with current-reuse is presented, where the main advantages of the introduced mixer topology are: high voltage gain, moderate noise figure, moderate linearity, and operation at low supply voltages.
Abstract: Scaling of CMOS technologies has a great impact on analog design. The most severe consequence is the reduction of the voltage supply. In this paper, a low voltage, low power, AC-coupled folded-switching mixer with current-reuse is presented. The main advantages of the introduced mixer topology are: high voltage gain, moderate noise figure, moderate linearity, and operation at low supply voltages. Insight into the mixer operation is given by analyzing voltage gain, noise figure (NF), linearity (IIP3), and DC stability. The mixer is designed and implemented in 0.18-/spl mu/m CMOS technology with metal-insulator-metal (MIM) capacitors as an option. The active chip area is 160 /spl mu/m/spl times/200 /spl mu/m. At 2.4 GHz a single side band (SSB) noise figure of 13.9 dB, a voltage gain of 11.9 dB and an IIP3 of -3 dBm are measured at a supply voltage of 1 V and with a power consumption of only 3.2 mW. At a supply voltage of 1.8 V, an SSB noise figure of 12.9 dB, a voltage gain of 16 dB and an IIP3 of 1 dBm are measured at a power consumption of 8.1 mW.

Journal ArticleDOI
TL;DR: In this article, a low voltage multiband allpMOS VCO was fabricated in a 0.18/spl mu/m CMOS process using a combination of inductor and capacitor switching, four band operation was realized using a single VCO.
Abstract: A low voltage multiband all-pMOS VCO was fabricated in a 0.18-/spl mu/m CMOS process. By using a combination of inductor and capacitor switching, four band (2.4, 2.5, 4.7, and 5 GHz) operation was realized using a single VCO. The VCO with an 1-V power supply has phase noises at 1-MHz offset from a 4.7-GHz carrier of -126 dBc/Hz and -134 dBc/Hz from a 2.4-GHz carrier. The VCO consumes 4.6 mW at 2.4 and 2.5 GHz, and 6 mW at 4.7 and 5 GHz, respectively. At 4.7 GHz, the VCO also achieves -80 dBc/Hz phase noise at 10-kHz offset with 2 mW power consumption.

Journal ArticleDOI
TL;DR: In this article, a low-power efficient three-stage amplifier topology suitable for large capacitive load applications is introduced, which uses a single Miller capacitor compensation (SMC) and single Miller capac feedforward compensation (SMFFC).
Abstract: Due to the rising demand for low-power portable battery-operated electronic devices, there is an increasing need for low-voltage low-power low-drop-out (LDO) regulators. This provides motivation for research on high-gain wide-bandwidth amplifiers driving large capacitive loads. These amplifiers serve as error amplifiers in low-voltage LDO regulators. Two low-power efficient three-stage amplifier topologies suitable for large capacitive load applications are introduced here: single Miller capacitor compensation (SMC) and single Miller capacitor feedforward compensation (SMFFC). Using a single Miller compensation capacitor in three-stage amplifiers can significantly reduce the total capacitor value, and therefore, the overall area of the amplifiers without influencing their stability. Pole-splitting and feedforward techniques are effectively combined to achieve better small-signal and large-signal performances. The 0.5-/spl mu/m CMOS amplifiers, SMC, and SMFFC driving a 25-k/spl Omega///120-pF load achieve 4.6-MHz and 9-MHz gain-bandwidth product, respectively, each dissipates less than 0.42 mW of power with a /spl plusmn/1-V power supply, and each occupies less than 0.02 mm/sup 2/ of silicon area.

Journal ArticleDOI
TL;DR: A fully integrated binary phase-shift keying (BPSK) demodulator, which is based on a hard-limited COSTAS loop topology, dedicated to such implantable medical devices, which may improve the controllability and observability of the overall implanted system.
Abstract: During the past decades, research has progressed on the biomedical implantable electronic devices that require power and data communication through wireless inductive links. In this paper, we present a fully integrated binary phase-shift keying (BPSK) demodulator, which is based on a hard-limited COSTAS loop topology, dedicated to such implantable medical devices. The experimental results of the proposed demodulator show a data transmission rate of 1.12 Mbps, less than 0.7 mW consumption under a supply voltage of 1.8 V, and silicon area of 0.2 mm/sup 2/ in the Taiwan Semiconductor Manufacturing Company (TSMC) CMOS 0.18-/spl mu/m technology. The transmitter satisfies the requirement of applications relative to high forward-transferring data rate, such as cortical stimulation. Moreover, the employment of BPSK demodulation along with a passive modulation method allows full-duplex data communication between an external controller and the implantable device, which may improve the controllability and observability of the overall implanted system.

Journal ArticleDOI
TL;DR: A 6-bit 1.2-GS/s flash-ADC with wide analog bandwidth and low power, realized in a standard digital 0.13 /spl mu/m CMOS copper technology, and achieves an effective resolution bandwidth (ERBW) of 700 MHz, while consuming 160 mW of power.
Abstract: We present a 6-bit 1.2-GS/s flash-ADC with wide analog bandwidth and low power, realized in a standard digital 0.13 /spl mu/m CMOS copper technology. Employing capacitive interpolation gives various advantages when designing for low power: no need for a reference resistor ladder, implicit sample-and-hold operation, no edge effects in the interpolation network (as compared to resistive interpolation), and a very low input capacitance of only 400 fF, which leads to an easily drivable analog converter interface. Operating at 1.2 GS/s the ADC achieves an effective resolution bandwidth (ERBW) of 700 MHz, while consuming 160 mW of power. At 600 MS/s we achieve an ERBW of 600 MHz with only 90 mW power consumption, both from a 1.5 V supply. This corresponds to outstanding figure-of-merit numbers (FoM) of 2.2 and 1.5 pJ/convstep, respectively. The module area is 0.12 mm/sup 2/.

Proceedings ArticleDOI
29 Aug 2005
TL;DR: In this article, a low-power embedded SRAM module implements a writing margin expansion for lowvoltage operation, a write replica circuit for low power operation and a lowleakage structure.
Abstract: A low-power embedded SRAM module implements a writing margin expansion for low-voltage operation, a write replica circuit for low-power operation and a low-leakage structure. The replica circuit reduces active power by 18%, and a 512kB module operates at 450MHz, has 7.8 /spl mu/A leakage in standby, and a minimum V/sub DD/ of 0.8V.

Proceedings ArticleDOI
05 Dec 2005
TL;DR: InSb quantum well transistors with unity gain cutoff frequency, fT, of 305 GHz and 256 GHz, respectively, at 05V VDS were demonstrated in this paper.
Abstract: We demonstrate for the first time 85nm gate length enhancement and depletion mode InSb quantum well transistors with unity gain cutoff frequency, fT, of 305 GHz and 256 GHz, respectively, at 05V VDS, suitable for high speed, very low power logic applications The InSb transistors demonstrate 50% higher unity gain cutoff frequency, fT, than silicon NMOS transistors while consuming 10 times less active power

Journal ArticleDOI
TL;DR: In this paper, a review of magnetics-on-silicon showed that inductance values of 20 to 40nH/mm/sup 2/ can be achieved for winding resistances less than 1/spl Omega/.
Abstract: Data from the ITRS2003 roadmap for 2010 predicts voltages for microprocessors in hand-held electronics will decrease to 0.8V with current and power increasing to 4A and 3W, respectively. Consequently, low power converters will move to multimegahertz frequencies with a resulting reduction in capacitor and inductor values by factors of 5 and 20, respectively. Values required at 10 MHz, for a low power buck converter, are estimated at 130 nH and 0.6 uF, compatible with the integration of magnetics onto silicon and the concept of power supply-on-chip (PSOC). A review of magnetics-on-silicon shows that inductance values of 20 to 40nH/mm/sup 2/ can be achieved for winding resistances less than 1/spl Omega/. A 1-/spl mu/H inductance can be achieved at 5 MHz with dc resistance of 1/spl Omega/ and a Q of four. Thin film magnetic materials, compatible with semiconductor processing, offer power loss density that is lower than ferrite by a factor of 5 at 10 MHz. Other data reported includes, lowest dc resistance values of 120 m/spl Omega/ for an inductance of 120 nH; highest Q of 15 for an inductance of 350 nH and a current of 1 A for a 1- /spl mu/H inductor. Future technology challenges include reducing losses using high resistivity, laminated magnetic materials, and increasing current carrying capability using high aspect-ratio, electroplated copper conductors. Compatible technologies are available in the power switch, control, and packaging space. Integrated capacitor technology is still a long-term challenge with maximum reported values of 400 nF/cm/sup 2/.

Proceedings ArticleDOI
02 Oct 2005
TL;DR: This paper model and analyze several strongly related parameters such as sleep-transistor size, decap area, and supply voltage level, and concludes that the power-gating technique can benefit from the technology nodes in future technology nodes.
Abstract: Power-gating is a technique for saving leakage power by shutting off the idle blocks. However, without good understanding and careful design, negative effects of power gating may overwhelm the potential gain and may make the technique not worth the effort. In this paper, we report on our study of the benefits and costs of the power-gating technique in terms of power, area, and performance. We model and analyze several strongly related parameters such as sleep-transistor size, decap area, and supply voltage level. We also report on our experiments to demonstrate how the gated area, circuit behavior and power mesh granularity affect the power gating technique at the system level. Experimental results show that, by compromising 4% of the total area and 5% of the dynamic power, we can achieve 47% leakage power saving while maintaining the same performance. With technology scaling down, the saving is significant. We conclude that we can benefit from the power-gating technique in future technology nodes.

Journal ArticleDOI
TL;DR: In this article, a 10-Gb/s optical transimpedance amplifier (TIA) has been implemented in a 0.18/spl mu/m CMOS process, which accommodates a PD capacitor of 250 fF, achieving the gain of 61 dB/spl Omega/ and 3-dB frequency of 7.2 GHz.
Abstract: This work presents the technique of multiple inductive-series peaking to mitigate the deteriorated parasitic capacitance in CMOS technology. Employing multiple inductive-series peaking technique, a 10-Gb/s optical transimpedance amplifier (TIA) has been implemented in a 0.18-/spl mu/m CMOS process. The 10-Gb/s optical CMOS TIA, which accommodates a PD capacitor of 250 fF, achieves the gain of 61 dB/spl Omega/ and 3-dB frequency of 7.2 GHz. The noise measurement shows the average noise current of 8.2 pA//spl radic/Hz with power consumption of 70 mW.

Proceedings ArticleDOI
13 Jun 2005
TL;DR: An extremely fast run-time is achieved by casting the problem as a second-order conic problem and solving it using efficient interior-point optimization methods, and the new algorithm reduces static power by 31% and total power by 17% without the loss of parametric yield.
Abstract: Power minimization under variability is formulated as a rigorous statistical robust optimization program with a guarantee of power and timing yields. Both power and timing metrics are treated probabilistically. Power reduction is performed by simultaneous sizing and dual threshold voltage assignment. An extremely fast run-time is achieved by casting the problem as a second-order conic problem and solving it using efficient interior-point optimization methods. When compared to the deterministic optimization, the new algorithm, on average, reduces static power by 31% and total power by 17% without the loss of parametric yield. The run time on a variety of public and industrial benchmarks is 30/spl times/ faster than other known statistical power minimization algorithms.

Journal ArticleDOI
TL;DR: A wireless bus for stacked chips was developed by utilizing inductive coupling among them by utilizing a simple equivalent circuit model and a magnetic field model based on the Biot-Savart law is used.
Abstract: A wireless bus for stacked chips was developed by utilizing inductive coupling among them. This paper discusses inductor layout optimization and transceiver circuit design. The inductive coupling is analyzed by a simple equivalent circuit model, parameters of which are extracted by a magnetic field model based on the Biot-Savart law. Given communication distance, transmit power, data rate, and SNR budget, inductor layout size is minimized. Two receiver circuits, signal sensitive and yet noise immune, are designed for inductive nonreturn-to-zero (NRZ) signaling where no signal is transmitted when data remains the same. A test chip was fabricated in 0.35-/spl mu/m CMOS technology. Accuracy of the models is verified. Bit-error rate is investigated for various inductor layouts and communication distance. The maximum data rate is 1.25 Gb/s/channel. Power dissipation is 43 mW in the transmitter and 2.6 mW in the receiver at 3.3 V. If chip thickness is reduced to 30 /spl mu/m in 90-nm device generation, power dissipation will be 1 mW/channel or bandwidth will be 1 Tb/s/mm/sup 2/.

Proceedings ArticleDOI
R. Chau1, Suman Datta1, A. Majumdar1
01 Jan 2005
TL;DR: The opportunities and challenges of III-V nanoelectronics for future high-speed, low- power digital logic applications are highlighted and many significant challenges remain to be overcome.
Abstract: This paper highlights the opportunities and challenges of III-V nanoelectronics for future high-speed, low- power digital logic applications. III-V materials in general have significantly higher electron mobility than Si and can potentially play a major role along with Si in future high-speed, low-power computing. The major potential advantage of using a III-V quantum-well field-effect transistor as a logic transistor is that it can be operated under very low supply voltage (e.g., 0.5 V), and hence, lower power dissipation while still achieving very high speed. Compared to other emerging high-mobility materials, such as, carbon nanotubes and semiconductor nanowires, which require "bottom-up" chemical synthesis for formation and suffer from the fundamental placement problem, III-V materials are far more practical in terms of patterning. However, many significant challenges remain to be overcome before III-V materials become applicable for future high-speed, low-power logic applications. These include: (i) finding a compatible high-K gate dielectric on III-Vs, (ii) demonstrating gate length scalability below 35 nm with acceptable I/sub ON//I/sub OFF/ ratio, (iii) improving the hole mobility in III-Vs or finding the right p-channel FET for the complementary metal-oxide-semiconductor (CMOS) configuration, and (iv) integrating III-V materials onto the Si substrate.

Journal ArticleDOI
05 Dec 2005
TL;DR: This work presents the highest serial data rate for CMOS transceiver arrays and the lowest power consumption per data rate reported to date.
Abstract: This paper describes a quad optical transceiver for low-power high-density short-distance optical data communication. Each channel transmits 10 Gb/s over a multimode (MM) fiber and features a link margin of 5.2 dB at a bit error rate (BER) of 10/sup -12/. The transmit and receive amplifying circuits are implemented in an 80-nm digital CMOS process. Each driver consumes 2 mW from a 0.8-V supply, and each vertical cavity surface-emitting laser (VCSEL) requires 7 mA from a 2.4-V supply. The receiver excluding the output buffer consumes 6 mW from a 1.1-V supply per channel and achieves a transimpedance gain of 80.1 dB/spl Omega/. The isolation to the neighboring channels is >30dB including the bond wires and optical components. A detailed link budget analysis takes the relevant system impairments as losses and power penalties into account, derives the specifications for the electrical circuits, and accurately predicts the link performance. This work presents the highest serial data rate for CMOS transceiver arrays and the lowest power consumption per data rate reported to date.

Journal ArticleDOI
Byung-Do Yang1, Lee-Sup Kim1
TL;DR: In this article, a low power SRAM using hierarchical bit line and local sense amplifiers (HBLSA-SRAM) was proposed to reduce both capacitance and write swing voltage of bit lines.
Abstract: This paper proposes a low power SRAM using hierarchical bit line and local sense amplifiers (HBLSA-SRAM). It reduces both capacitance and write swing voltage of bit lines by using the hierarchical bit line composed of a bit line and sub-bit lines with local sense amplifiers. The HBLSA-SRAM reduces the write power consumption in bit lines without noise margin degradation by applying a low swing signal to the high capacitive bit line and by applying a full swing signal to the low capacitive sub-bit line. The HBLSA-SRAM reduces the swing voltage of bit lines to V/sub DD//10 for both read and write. It saves 34% of the write power compared to the conventional SRAM. An SRAM chip with 8 K/spl times/32 bits is fabricated in a 0.25-/spl mu/m CMOS process. It consumes 26 mW read power and 28 mW write power at 200 MHz with 2.5 V.

Journal ArticleDOI
TL;DR: With the developed current-sensing circuit, a buck regulator, which is able to operate at a 1.2-V supply, is implemented and a maximum output current of 120 mA and power-conversion efficiency higher than 89% are achieved.
Abstract: An integrated current-sensing circuit for low-voltage buck regulator is presented. The minimum achievable supply voltage of the proposed current-sensing circuit is 1.2 V implemented in a CMOS technology with V/sub TH/=0.85 V, and the current-sensing accuracy is higher than 94%. With the developed current-sensing circuit, a buck regulator, which is able to operate at a 1.2-V supply, is implemented. A maximum output current of 120 mA and power-conversion efficiency higher than 89% are achieved.

Journal ArticleDOI
TL;DR: A novel circuit technique to virtually eliminate test power dissipation in combinational logic by masking signal transitions at the logic inputs during scan shifting by inserting an extra supply gating transistor in the supply to ground path for the first-level gates at the outputs of the scan flip-flops.
Abstract: Reduction in test power is important to improve battery lifetime in portable electronic devices employing periodic self-test, to increase reliability of testing, and to reduce test cost. In scan-based testing, a significant fraction of total test power is dissipated in the combinational block. In this paper, we present a novel circuit technique to virtually eliminate test power dissipation in combinational logic by masking signal transitions at the logic inputs during scan shifting. We implement the masking effect by inserting an extra supply gating transistor in the supply to ground path for the first-level gates at the outputs of the scan flip-flops. The supply gating transistor is turned off in the scan-in mode, essentially gating the supply. Adding an extra transistor in only one logic level renders significant advantages with respect to area, delay, and power overhead compared to existing methods, which use gating logic at the output of scan flip-flops. Moreover, the proposed gating technique allows a reduction in leakage power by input vector control during scan shifting. Simulation results on ISCAS89 benchmarks show an average improvement of 62% in area overhead, 101% in power overhead (in normal mode), and 94% in delay overhead, compared to the lowest cost existing method.