scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2004"


Patent
12 Oct 2004
TL;DR: In this paper, a module measurement cell coupled to a host inspection system is configured to inspect a wafer using one or more modes prior to inspection of the wafer by the host system, such as backside inspection, edge inspection, frontside macro defect inspection, or a combination thereof.
Abstract: Wafer inspection systems and methods are provided. One inspection system includes a module measurement cell coupled to a host inspection system by a wafer handler. The module measurement cell is configured to inspect a wafer using one or more modes prior to inspection of the wafer by the host inspection system. The one or more modes include backside inspection, edge inspection, frontside macro defect inspection, or a combination thereof. Another inspection system includes two or more low resolution electronic sensors arranged at multiple viewing angles. The sensors are configured to detect light returned from a wafer substantially simultaneously. A method for analyzing inspection data includes selecting a template corresponding to a support device that contacts a backside of a wafer prior to inspection of the backside of the wafer. The method also includes subtracting data representing the template from inspection data generated by inspection of the backside of the wafer.

37 citations


Journal ArticleDOI
TL;DR: In this article, a new actinic mask inspection system has been developed, and simulations were carried out on its imaging performance, and preliminary experiments using the extreme ultraviolet (EUV) microscope developed at NTT have shown that it can resolve 250- and 350-nm-wide lines (on a mask).
Abstract: A new actinic mask inspection system has been developed, and simulations were carried out on its imaging performance. Preliminary experiments using the extreme ultraviolet (EUV) microscope developed at NTT have shown that it can resolve 250- and 350-nm-wide lines (on a mask). Furthermore, the type of absorber material used in a mask was found to have some effect on the contrast of mask images taken by EUVM. Our results demonstrate that an at-wavelength microscope is a powerful and useful tool for evaluating mask fabrication processes for EUVL.

28 citations


Patent
13 Aug 2004
TL;DR: In this article, a deblurring filter that reduces the distortion of mask inspection images by compensating for the non-uniform frequency response of the inspection system is proposed, which can adapt to the characteristics of each individual inspection system.
Abstract: The invention comprises processes for determining and applying a deblurring filter that reduces inspection system distortion, of mask inspection images, by compensating for the non-uniform frequency response of the inspection system. In particular, an adaptive filter is determined empirically for an inspection system: one or more training images are obtained by the inspection system and the filter is determined from such images. In this way, the filter can adapt to the characteristics of each individual inspection system. An example adaptive filter, known as a Weiner filter, is determined and applied.

26 citations


Journal ArticleDOI
TL;DR: In this article, an inspection methodology and how it can be applied to the imprint template are described, which enables both a die to die comparison, to find nuisance defects, and also calibration of sensitivity to different types of preprogrammed defects.
Abstract: Masks of any next generation lithography (NGL), such as imprint lithography, must eventually achieve and maintain the very low defect counts of current production masks. This requires typically fewer than 10 or even no defects over the entire field. We describe an inspection methodology and how it can be applied to the imprint template. Special test patterns etched onto the template enable both a die to die comparison, to find nuisance defects, and also calibration of sensitivity to different types of preprogrammed defects. A state of the art deep ultraviolet photomask inspection system (KLA-Tencor model 526) can detect these rare events with about 70nm threshold for imprint masks with reflection mode contrast. Initial scans are made at various stages of the imprint process: the newly processed mask, after dicing, and after several imprints. The scans show mostly isolated point defects at a density of ∼10–100permm2. This is an encouraging start for a new NGL, and reductions are expected from better proces...

20 citations


Patent
23 Feb 2004
TL;DR: In this article, a threshold look-up table is used to evaluate the impact of mask defect impact during the transfer of a mask pattern to a wafer layer by using mask images obtained during mask inspection.
Abstract: To exam mask defect impact during the transfer of a mask pattern to a wafer layer, tools can use mask images obtained during mask inspection. Specifically, these tools can also use optical models of such mask images to simulate wafer images. However, when feature sizes become very small, optical models may not provide sufficiently accurate simulation results. Using a photoresist model would yield significantly more accurate simulation results than using an optical model. Unfortunately, resist modeling is very slow, thereby making it commercially impractical. A simulation tool can generate a simulated wafer image having the accuracy of a photoresist model with the speed of an optical model by using a threshold look-up table. In one embodiment, the threshold look-up table could include variables such as feature size, pitch size, feature type, and defect type.

17 citations


Proceedings ArticleDOI
06 Dec 2004
TL;DR: In this paper, a high-resolution mask inspection platform using DUV wavelength has been developed to enable the defect inspection of high quality masks for 65nm node used in 193nm lithography.
Abstract: A novel high-resolution mask inspection platform using DUV wavelength has been developed. This platform is designed to enable the defect inspection of high quality masks for 65nm node used in 193nm lithography. In this paper, newly developed optical system and its performance are reported. The system is operated at wavelength of 198.5nm, which wavelength is nearly equal to 193nm-ArF laser exposure tool. Some defect image data and defect inspection sensitivity due to simulation-base die-to-die (D/D) inspection are shown on standard programmed defect test mask. As an initial state D/D inspection performance, 20-60 nm defects are certified. System capabilities for 65nm node inspection and beyond are also discussed.

14 citations


Patent
13 Aug 2004
TL;DR: In this paper, an optical imaging system for inspection microscopes with which lithography masks can be checked for defects particularly through emulation of high-aperture scanner systems is described, and images of the mask and/or sample are received by the detector for differently polarized beam components and are conveyed to the evaluating unit for further processing.
Abstract: An optical imaging system for inspection microscopes with which lithography masks can be checked for defects particularly through emulation of high-aperture scanner systems. The microscope imaging system for emulating high-aperture imaging systems comprises imaging optics, a detector and an evaluating unit, wherein polarizing optical elements are selectively arranged in the illumination beam path for generating different polarization states of the illumination beam and/or in the imaging beam path for selecting different polarization components of the imaging beam, an optical element with a polarization-dependent intensity attenuation function can be introduced into the imaging beam path, images of the mask and/or sample are received by the detector for differently polarized beam components and are conveyed to the evaluating unit for further processing.

12 citations


Patent
15 Sep 2004
TL;DR: In this article, an acceptable defect positioning and manufacturing method for large-scaled photomask blanks is proposed, where the defective information of the entire large-scale photomo-blanks or etched and to-be-repaired photomasks, upon being acquired by an inspection apparatus, is categorized into a critical area a non-critical area.
Abstract: Disclosed is an acceptable defect positioning and manufacturing method for large-scaled photomask blanks, where the defective information of the entire large-scaled photomask blanks or etched and to-be-repaired photomask, upon being acquired by a photomask inspection apparatus, is categorized into a critical area a non-critical area. The so-called critical area is directed to areas where defects are unacceptable, while the non-critical area is directed to areas where defects are acceptable. For large-scaled photomask blanks, if all defects are within the non-critical area, the photomask blanks are deemed acceptable. For large-scaled photomasks, photomask acceptance system only needs to reject photomask blanks whose defects are within the critical area of the mask products.

10 citations


Proceedings ArticleDOI
06 Dec 2004
TL;DR: In this article, a hybrid concept combining diffractive and refractive optical elements was proposed for a high NA, large working distance, microscope objective, which was demonstrated by investigating different mask features.
Abstract: The capability of a high NA, large working distance, microscope objective was demonstrated by investigating different mask features. The microscope objective is based on a hybrid concept combining diffractive and refractive optical elements. Resolution down to 125 nm lines and spaces (L/S) is demonstrated by investigating periodic chrome on glass structures. A significant additional improvement of the resolution is achieved by inducing a solid immersion lens (SIL).

9 citations


Patent
Akihiko Ando1
27 Dec 2004
TL;DR: In this article, a mask inspection system with an inspection algorithm and sensitivity to the reference pattern data based on wafer simulation is presented, and a defect judging part 16 judges propriety of an inspection object pattern data while comparing reference graphic data with the inspection object data in every pixel.
Abstract: A mask inspection system 10 inspects an inspection object pattern while comparing an inspection object data obtained in such a way as to image the inspection object pattern with a reference pattern data. The mask inspection system 10 is provided with an inspection information preparing part 12 producing inspection algorithm and inspection sensitivity to the reference pattern data based on wafer simulation, a converting part 13 generating a reference graphic data with inspection information while adding the inspection information to the reference graphic data, and a defect judging part 16 judges propriety of an inspection object pattern data while comparing reference graphic data with an inspection object data in every pixel based on the inspection information added to the reference graphic data with inspection information.

9 citations


Patent
28 May 2004
TL;DR: In this paper, an inspection photoresist sensitivity of the inspection resist film is calculated using sensitivity calibration data, based on the inspection sensitivity index, using an inspection transferred image of the exposure dose monitor mark delineated on the inspected resist film.
Abstract: An method for evaluating sensitivity of a photoresist includes transferring an exposure dose monitor mark onto an inspection resist film with an inspection setting exposure dose using an exposure tool. Inspection sensitivity index varying according to the inspection setting exposure dose is measured, using an inspection transferred image of the exposure dose monitor mark delineated on the inspection resist film. An inspection photoresist sensitivity of the inspection resist film is calculated using sensitivity calibration data, based on the inspection sensitivity index.

Patent
Inoue Mari1
29 Oct 2004
TL;DR: In this article, the authors proposed a method to evaluate a photomask based on the number of defects in an inspection region of the photomo-mask, and the defect size distribution in the inspection region.
Abstract: A photomask evaluating method comprises calculating a killer defect rate function with respect to a simulative defect pattern including a pattern of photomask and a plurality of defects, the killer defect rate function representing a killer defect rate of the simulative defect pattern with respect to a desired density of the pattern and a desired size of the plurality of defects, calculating the number of killer defects in an inspection region of the photomask based on an area of the photomask inspection region, a pattern density in the inspection region, the killer defect rate function and a defect size distribution representing the number of defects per unit area to a defect size range acquired from a photomask manufacturing step, and evaluating the photomask based on the calculated number of the killer defects.

Patent
15 Apr 2004
TL;DR: In this paper, the authors proposed a defect inspection method for defect inspection of an exposure mask and to realize high accuracy and high speed defect inspection, which includes the steps of: acquiring a detected defect pattern image, a reference pattern image and inspection data images corresponding to the respective defect pattern images and reference pattern images; comparing the defect dimensional difference and the pattern area difference between the defect image and the reference pattern with preliminarily determined thresholds to extract a pattern deformation defect; calculating the gravity center of each pattern image; extracting the size of a first vector connecting the gravity centers of the
Abstract: PROBLEM TO BE SOLVED: To automate defect inspection in an apparatus for defect inspection of an exposure mask and to realize high accuracy and high-speed defect inspection. SOLUTION: The method includes the steps of: acquiring a detected defect pattern image, a reference pattern image, and inspection data images corresponding to the respective defect pattern image and reference pattern image; comparing the defect dimensional difference and the pattern area difference between the defect pattern image and the reference pattern with preliminarily determined thresholds to extract a pattern deformation defect; calculating the gravity center of each pattern image; extracting the size of a first vector connecting the gravity centers of the defect pattern image and the corresponding inspection data image, the size of a second vector connecting the gravity centers of the reference pattern image and the corresponding inspection data image, and the angle made by the first and second vectors; comparing the obtained values with preliminarily determined thresholds; extracting a pattern misalignment defect; and automatically judging whether the pattern deformation defect and the pattern misalignment defect affects the transferring property or not. COPYRIGHT: (C)2006,JPO&NCIPI

01 Jan 2004
TL;DR: In this article, the MEEF (Mask Error Enhancement Factor) is proposed as an objective and relatively easily determinable parameter to assess the printability of mask defects, which can be used to separate mask features into more and less critical features.
Abstract: Mask making yield is seriously affected by un-repairable mask defects. Up to now, there is only one size specification for critical defects, which has to be applied to any defect found. Since recently, some mask inspection tools offer the capability to inspect different features on one mask with different sensitivity. Boolean operations can be used to segregate mask features into more and less critical. In this paper we show the MEEF (Mask Error Enhancement Factor), which determines from the mask / wafer pattern transfer the actual effectiveness of mask errors, as an objective and relatively easily determinable parameter to assess the printability of mask defects. Performing OPC, a model-based OPC tool is aware of the MEEF, and can also provide the capability for the additional information handling, which is needed to supply the mask maker with a set of data layers of different defect printability for one mask layer.

Proceedings ArticleDOI
Mark Ma1, Hyesook Hong1, Yong Seok Choi1, Chi-Chien Ho1, Mark E. Mason1, Randy Mckee1 
06 Dec 2004
TL;DR: In this article, the authors discuss how to optimize the reticle layout to meet the needs of optical proximity correction (OPC) and phase-shifting masks (PSM).
Abstract: The ability to transfer designs with high fidelity onto photomasks and then to silicon is an increasingly complex task for advanced technology nodes. For example, the majority of the critical layers for even the 130nm node are patterned by sub-wavelength photolithography; therefore, the numerical aperture, illumination condition, and the resist process must be optimized to achieve the necessary resolution. The reticle, as a bridge between design and process, has become very complex due to the extensive application of resolution enhancement technologies (RETs). As the complexity of RETs increases, the final mask data can be vastly different from the original design due to a series of data manipulations. Optimizing the reticle layout plays the pivotal role in design-for-manufacturability (DFM) considerations. In this paper, we will discuss how design rules must accommodate the needs of Optical Proximity Correction (OPC) and Phase-shifting Masks (PSM). The final layout on a mask after extensive polygon manipulation must also meet the capability and manufacturability of mask writing, mask inspection, and silicon processing. We will also discuss how the wafer fab's perspective can affect the mask shop. Throughout the discussion, we will demonstrate that the integration at mask level and the collaboration of design, RET, mask shop, and wafer fab are key to DFM success.

Patent
14 Oct 2004
TL;DR: In this paper, the authors propose a method for mask inspection based on a preprocessing part that sets at least one side of precedence and specification of inspection by using the information for each region and selects the inspection spot from the region of the order in accordance with the precedence.
Abstract: PROBLEM TO BE SOLVED: To provide an apparatus and a method for inspecting a mask capable of reducing the possibility of the occurrence of an off-specified mask caused by a measurement result of such an inspection spot as to hardly influence device characteristics as a matter of fact in mask inspection. SOLUTION: A preprocessing part 51 inputs information for each region such as an operational frequency (Ifreq.) from a layout design device 1, a dummy pattern information (Idummy1), an operational margin (Imargin) from a timing verification device 2 and a dummy pattern information (Idummy2) from a mask data processing device 3. The preprocessing part 51 sets at least one side of precedence and specification of inspection by using the information for each region and selects the inspection spot from the region of the order in accordance with the precedence. An inspection decision part 52 inspects the inspection spot, based on the specification for each region, indicates a specification nonconformity part of the mask and determines the acceptability. The inspection spot to be inspected which conforms the operational frequency corresponding to a device in a mask region is selected and an inspection with appropriate severity for each region is conducted. COPYRIGHT: (C)2006,JPO&NCIPI

Patent
24 Sep 2004
TL;DR: In this paper, the phase-shift features of the photomask are formed by using electron-curing sol-gel coatings, and the phase shift features can be created according to the method.
Abstract: Disclosed are phase-shift photomask and method for its fabrication. The phase-shift features of the photomask are formed by using electron-curing sol-gel coatings. Ultra-fine phase-shift features can be created according to the method. The process disclosed is simpler than conventional method for producing phase-shift photomasks.

Proceedings ArticleDOI
Luke T. H. Hsu1, C. C. Lin1, Anja Rosenbusch2, Yuval Bloomberg2, Simon Kurin2 
06 Dec 2004
TL;DR: In this article, the authors present the results of a study to define a production-worthy inspection technique for subresolution solid and hollow scattering features used in 193-nm lithography.
Abstract: The paper presents the results of a study to define a production-worthy inspection technique for subresolution solid and hollow scattering features used in 193-nm lithography. Masks are inspected using conventional high-NA and aerial-imaging-based mask inspection tools. Inspection results are compared regarding capture rate and nuisance defect rate.

Patent
26 Aug 2004
TL;DR: In this article, an observing device capable of observing with excellent contrast a pattern which is hardly discriminated such as an HT mask even with visible light lighting, and a mask inspection device using the observing device.
Abstract: PROBLEM TO BE SOLVED: To provide an observing device capable of observing with excellent contrast a pattern which is hardly discriminated such as an HT mask even with visible light lighting, and a mask inspection device using the observing device. SOLUTION: This device is provided with an image pickup means 4 picking up images of an observation object 1 diagonally from upward of the observation object 1, an image processing means 6 into which image signals picked up by the image pickup means 4 is input, and an alignment mark setting means 8 for setting an alignment mark on the basis of image information of the observation object 1 without distortion as output from the image processing means 6. COPYRIGHT: (C)2004,JPO&NCIPI

Proceedings ArticleDOI
06 Dec 2004
TL;DR: In this paper, a realistic mask re-qualification frequency model has been developed based on a large volume of data from an advanced logic fab. Statistical methods are used to analyze mask inspection and product data, which are combined in a stochastic model.
Abstract: Progressive mask defect problems such as crystal growth or haze are key yield limiters for DUV lithography, especially in 300mm fabs. Even if the incoming mask quality is good, there is no guarantee that the mask will remain clean during its production usage in the wafer fab. These progressive defects must be caught in advance during production in the fabs. The ideal reticle quality control goal should be to detect any nascent progressive defects before they become yield limiting. So, a high-resolution mask inspection is absolutely needed, but the big question is: “how often do fabs need to re-inspect their masks”? This re-inspection frequency should ideally be the most cost-effective frequency at which there is minimum threat for a yield loss. Previous work towards finding a cost effective mask re-qualification frequency was done prior to the above mentioned progressive defect problem that industry started to see at a much higher rate during just the last few years. Other related recent work was done 2004 BACUS conference which is dedicated to DRAM fab data. In this paper a realistic mask re-qualification frequency model has been developed based on a large volume of data from an advanced logic fab. This work will compliment previous work in this area done with the data from a DRAM fab. Statistical methods are used to analyze mask inspection and product data, which are combined in a stochastic model.

Patent
12 Apr 2004
TL;DR: In this paper, a mask defect inspection in a short period of time with high accuracy is carried out using a mask mask defect detector, which is equipped with: an inspection area operation section 51 to operate the inspection area around a segment functioning to seam drawn images from the drawing data of a mask; a pixel setting section 52 to divide the inspected area into a plurality of pixels; a reflected image deciding section 53 to take in the reflected image on the mask surface in the inspecting area and to decide whether possibility of failure is present or not in the segment; and a segment deciding section
Abstract: PROBLEM TO BE SOLVED: To carry out a mask defect inspection in a short period of time with high accuracy SOLUTION: The apparatus is equipped with: an inspection area operation section 51 to operate the inspection area around a segment functioning to seam drawn images from the drawing data of a mask; a pixel setting section 52 to divide the inspection area operated by the inspection area operation section 51 into a plurality of pixels; a reflected image deciding section 53 to take in the reflected image on the mask surface in the inspection area and to decide whether possibility of failure is present or not in the segment; and a segment deciding section 54 to take in the translucent image of the mask in the inspection area when possibility of failure is decided to be present in the segment by the reflective image deciding section 53 and to compare the taken-in image with a preliminarily taken in reference image by each pixel unit to decide whether failure in the segment is present or not COPYRIGHT: (C)2006,JPO&NCIPI

Proceedings ArticleDOI
20 Aug 2004
TL;DR: In this paper, a new photomask inspection method has been developed for inspecting 65nm technology node reticles using 257nm wavelength light source, which meets the requirement for the current mask inspection system using KrF inspection light source to be employed even in the fabrication of photomasks for 65 nm technology node by the appearance of immersion technology using ArF wavelength.
Abstract: We have developed a new photomask inspection method which has capability for inspecting 65nm technology node reticles using 257nm wavelength light source. This new method meets the requirement for the current mask inspection system using KrF inspection light source to be employed even in the fabrication of photomasks for 65nm technology node by the appearance of immersion technology using ArF wavelength. This paper discusses the detection capability of the 257nm wavelength inspection system for the defects on the 6% ArF attenuated phase shifting masks for 65nm node, using DSM based test pattern mask.

Patent
07 Jan 2004
TL;DR: In this article, an external appearance inspection device is provided with; an image input part 1 which optically scans a mask which is an inspection object and outputs input image data; a reference image generation part 2 which generates reference image data 5c from design data 5b relating to the pattern of a reticle; a searching part 3 which searches regions of the same form on the reference image 5c; and a comparison part 4 which compares and collates regions corresponding to the regions searched by the searching parts 3 on the input image 5a.
Abstract: PROBLEM TO BE SOLVED: To provide an external appearance inspection device which can detect the defect of a reticle with high sensitivity. SOLUTION: The external appearance inspection device is provided with; an image input part 1 which optically scans a mask which is an inspection object and outputs input image data 5a; a reference image generation part 2 which generates reference image data 5c from design data 5b relating to the pattern of a reticle; a searching part 3 which searches regions of the same form on the reference image data 5c; and a comparison part 4 which compares and collates regions corresponding to the regions of the same form searched by the searching part 3 on the input image data 5a. COPYRIGHT: (C)2005,JPO&NCIPI

Proceedings ArticleDOI
20 Aug 2004
TL;DR: In this article, a defect evaluation system named ADRES (Advanced Photomask Defect Repair Evaluation System), featuring a function to extract edges from a mask SEM image to be passed on to a litho-simulation.
Abstract: Photomasks are currently inspected based on the standard of defect size. A shortcoming of this standard is that the type of defects which do not impact on a wafer, could be detected as impermissible defects. All of them are subject to repair works and some of them require further inspection by AIMS. This is one of the factors that are pushing down the yield and the turnaround time (TAT) of mask manufacturing. An effective way to improve this situation will be to do the repair works selectively on the defects that are predicted to inflict a functional damage on a wafer. In this report, we will propose a defect evaluation system named ADRES (Advanced Photomask Defect Repair Evaluation System), featuring a function to extract edges from a mask SEM image to be passed on to a litho-simulation. A distinctive point of our system is the use of a mask SEM image with a high resolution.

Patent
09 Dec 2004
TL;DR: In this article, a photomask for inspecting the birefringence of a projection lens is provided with: a transparent substrate 10; a pattern of a light shielding film 11 having a window part and formed on the surface of the transparent substrate; and a second inspection pattern 14 disposed so as to be separated from the first inspection pattern 13 by a fixed distance in the window part, and polarizing the illumination light into a second polarized state.
Abstract: PROBLEM TO BE SOLVED: To provide a photomask for inspecting the birefringence of a projection lens. SOLUTION: The photomask is provided with: a transparent substrate 10; a pattern of a light shielding film 11 having a window part and formed on the surface of the transparent substrate 10; a first inspection pattern 13 disposed in the window part and polarizing illumination light into a first polarized state; and a second inspection pattern 14 disposed so as to be separated from the first inspection pattern 13 by a fixed distance in the window part and polarizing the illumination light into a second polarized state different from the first polarized state. COPYRIGHT: (C)2005,JPO&NCIPI

Proceedings ArticleDOI
02 Jun 2004
TL;DR: The paper shows, that during the detection of semi-transparent defects, VSA allow, in particular, to get maximum available amplitude contrast of the CCD-signals on the image model, which allows also the inspection system to work without using maximum sensitivity settings with the same detection capability.
Abstract: The paper presents the description of the new Virtual Scanning Algorithms (VSA), providing sub-pixel resolution. VSA are the algorithms developed specially for EM-6029B (Fig. 1) and EM-6329 (Fig. 2) die-to-database reticle and photomask inspection systems of "Planar" concern (KBTEM-OMO). For the providing of the effective building in of these algorithms to the inspection system, has being created the special two-levels dynamic model of optical image. The paper presents also the building principles of this model. This model allows, on the one hand, to perform the precise alignment of optical image (collected from the reticle) wit a reference image (generated from the design data), and on the other hand -- to perform the analysis of optical image to get optimum mutual position of the digitization grid of the inspection system and detected defects position. VSA calculate the second level of this model. Both the VSA and the second level of dynamic model of optical image are presented the Virtual Scanning sub-System (VSS). VSS allows to increase the detection capability of automatic reticle inspection system by means of achievement sub-pixel resolution. The paper shows, that during the detection of semi-transparent defects, VSA allow, in particular, to get maximum available amplitude contrast of the CCD-signals on the image model. Accordingly, VSA allow also the inspection system to work without using maximum sensitivity settings with the same detection capability. As a result is the reduction of the risk of false or nuisance defects detection, while keeping maximum sensitivity to printable defects. The VSS design and in-house test results are discussed.

Proceedings ArticleDOI
06 Dec 2004
TL;DR: Wang et al. as discussed by the authors proposed defect size specifications of 65nm and beyond optical mask with various OPC and RET environments, and presented initial data of newly developed 198.5nm inspection wavelength system.
Abstract: In 65nm node and some more technology node probably may go with current optical lithography and industry has predicted many challenges. In patterning point of view, quality and cost of mask became more and more important than ever. Particularly, mask defect engineering technology is key area not only inspect the defects but also mask process monitoring and improvements. In mask inspection technology there were a lot of new progresses to enhance the defect inspection sensitivity and stability. The key solution to achieve better sensitivity may be short inspection wavelength and adequate detection algorithm. In this paper, we will propose defect size specifications of 65nm and beyond optical mask with various OPC and RET environments. In addition, we will present initial data of newly developed 198.5nm inspection wavelength system. Through this study, we found future optical mask faces new challenges in defect inspection and to solve these problems, we need advanced mask inspection system and collaborations among patterning related fields.

Proceedings ArticleDOI
06 Dec 2004
TL;DR: In this article, the MEEF (Mask Error Enhancement Factor) is proposed as an objective and relatively easily determinable parameter to assess the printability of mask defects, which can be used to separate mask features into more and less critical features.
Abstract: Mask making yield is seriously affected by un-repairable mask defects. Up to now, there is only one size specification for critical defects, which has to be applied to any defect found. Since recently, some mask inspection tools offer the capability to inspect different features on one mask with different sensitivity. Boolean operations can be used to segregate mask features into more and less critical. In this paper we show the MEEF (Mask Error Enhancement Factor), which determines from the mask / wafer pattern transfer the actual effectiveness of mask errors, as an objective and relatively easily determinable parameter to assess the printability of mask defects. Performing OPC, a model-based OPC tool is aware of the MEEF, and can also provide the capability for the additional information handling, which is needed to supply the mask maker with a set of data layers of different defect printability for one mask layer.

Proceedings ArticleDOI
20 Aug 2004
TL;DR: PATACON-EPL is a software product for converting semiconductor mask CAD data to the EB data of masks for EB steppers, which operates in the environment in which several to some hundreds of Linux PC's are connected by a high-speed network.
Abstract: PATACON-EPL is a software product for converting semiconductor mask CAD data to the EB data of masks for EB steppers. This software has several functions, such as pattern placement corresponding to the structure of masks for EB steppers, complementary division for stencil masks, stitching for deforming the shape of a portion for connecting divided portions, proximity effect correction, machine control data generation, mask inspection data generation, and alignment mark insertion. This software operates in the environment in which several to some hundreds of Linux PC's are connected by a high-speed network.

Patent
Justin Jia-Jen Hwu1
21 Jan 2004
TL;DR: In this paper, a second template configured with a different contrast is used for a second pattern recognition comparison after the tools start stage search, which can serve as the "second" template or even be used as the third template in certain conditions where change of charging behavior from different production batches is seen over a time period.
Abstract: To account for changing image contrast due to wafer/slider/mask charging in e-beam or ion beam wafer/slider/mask inspection or measurement tools, which could lead to false pattern recognition comparison and result in coordination verification failures, if a site of a wafer/slider/mask being inspected fails a pattern recognition test when compared to a first template, a second template configured with a different contrast is used for a second pattern recognition comparison after the tools starts stage search. Use of image histogram analysis principles can also be applied for interpolation or extrapolation of the two image templates for generating of a third template with a different image contrast from the first two for customizing template contrast for further pattern recognition robustness fine tuning. This synthesized template can serve as the “second” template or even be used as the third template in certain conditions where change of charging behavior from different production batches is seen over a time period.