scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2007"


Patent
12 Jun 2007
TL;DR: In this article, an automated system for analyzing mask defects in a semiconductor manufacturing process is presented, which combines results from an inspection tool and design layout data from a design data repository corresponding to each mask layer being inspected with a computer program and a predetermined rule set to determine when a defect on a given mask layer has occurred.
Abstract: An automated system for analyzing mask defects in a semiconductor manufacturing process is presented. This system combines results from an inspection tool and design layout data from a design data repository corresponding to each mask layer being inspected with a computer program and a predetermined rule set to determine when a defect on a given mask layer has occurred. Mask inspection results include the presence, location and type (clear or opaque) of defects. Ultimately, a determination is made as to whether to scrap, repair or accept a given mask based on whether the defect would be likely to cause product failure. Application of the defect inspection data to the design layout data for each mask layer being inspected prevents otherwise acceptable wafer masks from being scrapped when the identified defects are not in critical areas of the mask.

44 citations


Proceedings ArticleDOI
TL;DR: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a dual-mode, scanning and imaging extreme-ultraviolet (EUV) microscope designed for pre-commercial EUV mask research.
Abstract: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a dual-mode, scanning and imaging extreme-ultraviolet (EUV) microscope designed for pre-commercial EUV mask research. Dramatic improvements in image quality have been made by the replacement of several critical optical elements, and the introduction of scanning illumination to im-prove uniformity and contrast. We report high quality actinic EUV mask imaging with resolutions as low as 100-nm half-pitch, (20-nm, 5× wafer equivalent size), and an assessment of the imaging performance based on several metrics. Modulation transfer function (MTF) measurements show high contrast imaging for features sizes close to the diffraction-limit. An investigation of the illumination coherence shows that AIT imaging is much more coherent than previously anticipated, with σ below 0.2. Flare measurements with several line-widths show a flare contribution on the order of 2-3% relative intensity in dark regions above the 1.3% absorber reflectivity on the test mask used for these experiments. Astigmatism coupled with focal plane tilt are the dominant aberrations we have observed. The AIT routinely records 250-350 high-quality images in numerous through-focus series per 8-hour shift. Typical exposure times range from 0.5 seconds during alignment, to approximately 20 seconds for high-resolution images.

40 citations


Patent
Yalin Xiong1, Rui-Fang Shi1
30 Jan 2007
TL;DR: In this article, a method and tool for generating reconstructed images that model the high NA effects of a lithography tool used to image patterns produced by a mask was proposed, which involves providing a mask reticle for inspection.
Abstract: A method and tool for generating reconstructed images that model the high NA effects of a lithography tool used to image patterns produced by a mask. Comparison of the reconstructed images with reference images characterize the mask. The method involves providing a mask reticle for inspection. Generating matrix values associated with a high NA corrective filter matrix that characterizes a high NA lithography system used to print from the mask. Illuminating the mask to produce a patterned illumination beam that is filtered with filters associated with the high NA corrective filter matrix elements to obtain a plurality of filtered beams that include raw image data that is processed to obtain a reconstructed image that is further processed and compared with reference images to obtain mask characterization information.

35 citations


Proceedings ArticleDOI
05 Oct 2007
TL;DR: In this paper, the authors evaluated the feasibility of inspecting the printed wafer as an alternative way for the high-sensitivity defect inspection of photomasks, and showed that wafer inspection is able to provide a full defect evaluation of advanced photomask with the specific advantage of assessing the actual printability of arbitrary defects.
Abstract: Defect inspection is one of the major challenges in the manufacturing process of photomasks. The absence of any printing defect on patterned mask is an ultimate requirement for the mask shop, and an increasing effort is spent in order to detect and subsequently eliminate these defects. Current DUV inspection tools use wavelengths five times or more larger than the critical defect size on advanced photomasks. This makes the inspectability of high-end mask patterns (including strong OPC and small SRAF's) and sufficient defect sensitivity a real challenge. The paper evaluates the feasibility of inspecting the printed wafer as an alternative way for the high-sensitivity defect inspection of photomasks. Defects originating in the mask can efficiently be filtered as repeated defects in the various dies on wafer. Using a programmed-defect mask of 65-nm technology, a reliable detection of the printing defects was achieved with an optimized inspection process. These defects could successfully be traced back to the photomask in a semi-automated process in order to enable a following repair step. This study shows that wafer inspection is able to provide a full defect qualification of advanced photomasks with the specific advantage of assessing the actual printability of arbitrary defects.

24 citations


Journal ArticleDOI
TL;DR: In this article, an extreme ultraviolet microscopy (EUVM) system for actinic mask inspection is presented, which consists of Schwarzschild optics and an X-ray zooming tube.
Abstract: We constructed an extreme ultraviolet microscopy (EUVM) system for actinic mask inspection that consists of Schwarzschild optics and an X-ray zooming tube. Using this system, a finished extreme ultraviolet lithography (EUVL) mask and Mo/Si glass substrates were inspected. An EUVM image of a 100-nm-width pattern on a 6025 glass mask was clealy observed. The resolution was estimated to be 50 nm or less from this pattern. The programmed phase defect on the glass substrate was also used for inspection. By using the EUV microscope, a programmed phase defect with widths of 90, 100, and 110 nm, a bump of 5 nm and a length of 400 µm was observed finely. The programmed phase defect of a 100-nm-wide and 2-nm-deep pit was also observed. Thus, in this research, the observation of a programmed phase defect was advanced using the EUV microscope, which succeeded in observing a topological defect structure image of a multilayer film. These results show that it is possible to detect the internal reflectance distribution of a multilayer film under the EUV microscope, without depending on surface pertubation.

22 citations


Proceedings ArticleDOI
03 May 2007
TL;DR: In this paper, the authors compared inspection sensitivity between before buffer etch pattern and after buffer and database mode, and between die to die mode and die to database mode and confirmed current DUV reticle inspection tool has potential for EUV mask defect inspection.
Abstract: EUV mask pattern inspection was investigated using current DUV reticle inspection tool. Designed defect pattern of 65nm node and 45nm node were prepared. We compared inspection sensitivity between before buffer etch pattern and after buffer etch pattern, and between die to die mode and die to database mode. Inspection sensitivity difference was not observed between before buffer etch pattern and after buffer etch pattern. In addition to defect inspection, wafer print simulation of program defect was investigated. Simulation results were compared to inspection result. We confirmed current DUV reticle inspection tool has potential for EUV mask defect inspection.

17 citations


Patent
22 Nov 2007
TL;DR: In this paper, a mask inspection device is equipped with an average film thickness computing circuit 250 where pattern design feature data and film thickness information of the pattern are inputted, and an average thickness in a prescribed area is computed on the basis of the feature data of a mask pattern and the film thickness of the mask pattern.
Abstract: PROBLEM TO BE SOLVED: To provide a method for acquiring an optical image without inducing an out-of-focus state while improving a following property to a pattern. SOLUTION: A mask inspection device is equipped with: an average film thickness computing circuit 250 where pattern design feature data and film thickness information of the pattern are inputted, and an average film thickness in a prescribed area is computed on the basis of the design feature data of the pattern and the film thickness information of the pattern; and an optical image acquiring unit 150 that acquires an optical image by focusing to a position representing the average film thickness when an optical image in a region of the mask pattern corresponding to the prescribed region is acquired by using a mask pattern created on the basis of the design feature data of the pattern and the film thickness information of the pattern. COPYRIGHT: (C)2008,JPO&INPIT

15 citations


Patent
26 Nov 2007
TL;DR: In this article, a support tool for supporting a metal mask to a frame has a means to pinch the metal mask at one side, and a tension setting means for giving a tension to the outer periphery direction in parallel to the mask face at the other side opposed to it.
Abstract: PROBLEM TO BE SOLVED: To provide an optimal support tool as a means of carrying out a precise inspection, especially in the case patterns of fine slit-shape are worked in a row, and as a means of preventing deformation troubles at the time of transfer in a manufacturing process of a metal mask SOLUTION: The support tool for supporting a metal mask to a frame has a means to pinch the metal mask at one side, and has a means to pinch the metal mask and a tension setting means for giving a tension to the outer periphery direction in parallel to the metal mask face at the other side opposed to it COPYRIGHT: (C)2009,JPO&INPIT

14 citations


Proceedings ArticleDOI
30 Oct 2007
TL;DR: In this article, a commercially available DUV inspection system that has the shortest inspection wavelength at 199nm was used to obtain image contrast values on hp32nm 1:1 lines and spaces using ArF-half tone (HT) mask.
Abstract: In this paper, we will report two evaluation results. One is the relationship between EUVL mask structure and image contrast values captured by 199nm inspection optics. The other is the influence of mask structure on defect inspection sensitivity. We utilized a commercially available DUV inspection system that has the shortest inspection wavelength at 199nm. Using the 199nm inspection optics, enough image contrast values on hp32nm 1:1 lines and spaces using ArF-half tone (HT) mask were obtained. On the other hand, image contrast values were not sufficient for conventional EUVL mask that have a 70nm absorber layer thickness. To improve the contrast values of mask pattern image, we evaluated the effect of absorber layer thickness on inspection image contrasts. As a result, reducing the thickness of the absorber layer to 44nm, enough image contrast values of hp32nm 1:1 lines and spaces patterns were obtained. In this paper, the influence of the thickness of absorber layer on inspection sensitivities for opaque and clear extension defects are also discussed.

11 citations


Proceedings ArticleDOI
05 Oct 2007
TL;DR: A novel approach to importing design data directly into the mask inspection to include both MDP generated MRC errors files and LRC generated MEEF files is introduced and results of recently developed inspection and review capability based upon controlling defect inspection using design aware data base control layers on a pixel basis are discussed.
Abstract: Resolution limitations in the mask making process can cause differences between the features that appear in a database and those printed to a reticle. These differences may result from intentional or unintentional features in the database exceeding the resolution limit of the mask making process such as small gaps or lines in the data, line end shortening on small sub-resolution assist features etc creating challenges to both mask writing and mask inspection. Areas with high variance from design to mask, often referred to as high MEEF areas (mask error enhancement factor), become highly problematic and can directly impact mask and device yield, mask manufacturing cycle time and ultimately mask costs. Specific to mask inspection it may be desirable to inspect certain non-critical or non-relevant features at reduced sensitivity so as not to detect real, but less significant process defects. In contrast there may also be times where increased sensitivity is required for critical mask features or areas. Until recently, this process was extremely manual, creating added time and cost to the mask inspection cycle. Shifting to more intelligent and automated inspection flows is the key focus of this paper. A novel approach to importing design data directly into the mask inspection to include both MDP generated MRC errors files and LRC generated MEEF files. The results of recently developed inspection and review capability based upon controlling defect inspection using design aware data base control layers on a pixel basis are discussed. Typical mask shop applications and implementations will be shown.

9 citations


01 Aug 2007
TL;DR: In this article, the authors investigated the defect detectability of a 2nd generation blank inspection tool and a patterned EUVL mask inspection tool, and compared the ability of the inspection tools to detect programmed defects whose printability has been estimated from wafer printing results and actinic aerial images results.
Abstract: The readiness of a defect-free extreme ultraviolet lithography (EUVL) mask blank infrastructure is one of the main enablers for the insertion of EUVL technology into production. It is essential to have sufficient defect detection capability and understanding of defect printability to develop a defect-free EUVL mask blank infrastructure. The SEMATECH Mask Blank Development Center (MBDC) has been developing EUVL mask blanks with low defect densities with the Lasertec M1350 and M7360, the 1st and 2nd generations, respectively, of visible light EUVL mask blank inspection tools. Although the M7360 represents a significant improvement in our defect detection capability, it is time to start developing a 3rd generation tool for EUVL mask blank inspection. The goal of this tool is to detect all printable defects; therefore, understanding defect printability criteria is critical to this tool development. In this paper, we will investigate the defect detectability of a 2nd generation blank inspection tool and a patterned EUVL mask inspection tool. We will also compare the ability of the inspection tools to detect programmed defects whose printability has been estimated from wafer printing results and actinic aerial images results.

Proceedings ArticleDOI
03 May 2007
TL;DR: The second generation of defect inspection tools enabled SEMATECH's Mask Blank Development Center (MBDC) to reduce mask blank defects to a level sufficient for use in EUV alpha tools by the end of 2007.
Abstract: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into manufacturing. Evidently, the success of the industry's mask blank defect reduction effort will critically depend on the timely availability of defect inspection tools that can find ever smaller defects. The first generation of defect inspection tools enabled SEMATECH's Mask Blank Development Center (MBDC) to reduce mask blank defects to a level sufficient for use in EUV alpha tools. The second tool generation is currently enabling the MBDC to meet EUV pilot line requirements by the end of 2007. However, to meet high volume manufacturing (HVM) mask blank defect requirements for 32 nm half-pitch (hp) patterning, the industry needs a third generation of defect inspection tools. This next EUV inspection tool generation must be able to find defects of ≤ 20 nm on mask blanks with a high capture rate and high blank throughput. In addition, these tools will also need to support extendibility assessments of low defect deposition technologies and the associated infrastructure towards meeting 22 nm half-pitch defect specifications. While visible light inspection is likely to support defect inspection needs for mask substrates over several technology nodes, the industry must explore other options for mask blanks and patterned masks. Evaluating the use of inexpensive printing tools and wafer-based inspection to search for repeating defects must be part of an overall strategy to address mask blank and patterned mask defect inspection.

Proceedings ArticleDOI
TL;DR: In this paper, a high-resolution mask inspection platform using DUV wavelength has been developed, which works at 198.5nm, and throughput using 70nm pixel size were designed within 2 hours per======mask.
Abstract: The lithography potential of an ArF (193nm) laser exposure tool with high numerical aperture (NA) will expand its lithography potential to 65nm node production and even beyond. Consequently, a mask inspection system with a light source, whose wavelength is nearly equal to 193nm, is required so as to detect defects of the masks using resolution enhancement technology (RET). Wavelength consistency between exposure tool and mask inspection tool is strongly required in the field of mask fabrication to obtain high defect inspection sensitivity. Therefore, a novel high-resolution mask inspection platform using DUV wavelength has been developed, which works at 198.5nm. This system has transmission and reflection inspection mode, and throughput using 70nm pixel size were designed within 2 hours per mask. In this paper, transmitted and reflected light image acquisition system and high accuracy focus detection optics are presented.

Proceedings ArticleDOI
03 May 2007
TL;DR: In this article, a mask protection engineering tool (MPE Tool) was developed to simulate various types of tests during the transfer of a mask or blank in air and in vacuum.
Abstract: We have developed a mask protection engineering tool (MPE Tool) that simulates various types of tests during the transfer of a mask or blank in air and in vacuum. We performed mask transfer experiments to investigate particle-free mask handling techniques using the MPE and mask inspection tools. We measured the number of particles accumulated during the transfer of the mask blanks. Less than 0.3 particles were added over a path from a load port (in air) to an ESC chamber (in vacuum) and more than half the particles accumulated appeared during the pumping down and purging steps in the load-lock chamber. Consequently, we consider that pumping down and purging are the most important steps for particle-free mask handling.

Patent
12 Jan 2007
TL;DR: In this paper, a mask pattern portion for exposing a predetermined pattern by an exposure beam is inspected by disposing a plurality of dummy inspection patterns having the same pattern as at least a part of the mask pattern.
Abstract: An exposure pattern or mask inspection and manufacture method and an exposure pattern or mask are provided which can perform comparison inspection of the exposure pattern or mask with ease and at a high precision. A mask pattern portion for exposing a predetermined pattern by an exposure beam is inspected by disposing a plurality of dummy inspection patterns having the same pattern as at least a part of the mask pattern portion, inside and/or outside an area of the mask pattern portion and comparing at least the portion of the mask pattern portion with the dummy inspection pattern portion or portions.

Patent
26 Dec 2007
TL;DR: In this paper, a photomask inspection apparatus is presented which observes a pattern provided on a mask substrate of a mask to inspect the mask including an object lens, and a liquid that is present between a last lens in the side closer to the mask of the object lens and the mask.
Abstract: According to one aspect of the present invention, there is provided a photomask inspection apparatus which observes a pattern provided on a mask substrate of a mask to inspect the mask including an object lens, and a liquid that is present between a last lens in the side closer to the mask of the object lens and the mask.

Patent
Ikenaga Osamu1
06 Sep 2007
TL;DR: In this paper, a photomask formation method is described, where a pattern obtained by coding information including inspection information for inspecting the photomasks and an information attribute which identifies a type of the inspection information is presented.
Abstract: According to an aspect of the invention, there is provided a photomask formation method including forming, on a photomask, a pattern obtained by coding information including inspection information for inspecting the photomask and an information attribute which identifies a type of the inspection information; reading the inspection information from the pattern; and inspecting the photomask on the basis of the read inspection information.

Proceedings ArticleDOI
25 Oct 2007
TL;DR: In this article, the authors present the results of an evaluation of defect inspection methods and modes such as die to database selective thinline desense, transmitted & reflected light inspection, review system and die to die selective desense to increase inspectability and usable sensitivity using manufacturing and R&D masks.
Abstract: As design rules continue to shrink towards 4x nm, there are increase usage of aggressive Optical Proximity Correction (OPC) in reticle manufacturing. One of the most challenging aggressive OPCs is Sub Resolution Assist Feature (SRAF) such as scattering and anti-scattering bars typically used to overlap isolated and dense feature process windows. These SRAF features are sub-resolution in that these features intentionally do not resolve on the printed wafer. Many reticle manufacturers struggle to write these SRAFs with consistent edge quality even the most advanced E-Beam writers and processes due to resolution limitations. Consequently, this inconsistent writing gives reticle inspection challenges. Large numbers of such nuisance defects can dominate the inspection and impose an extraordinarily high burden on the operator reviewing these defects. One method to work around inconsistent assist feature edge quality or line-end shortening is to adjust the mask inspection system so that there is a substantial sensitivity decrease in order to achieve good inspectability, which then compromises the sensitivity for the defects on main geometries. Modern defect inspection tools offer multiple modes of operation that can be effectively applied to optimize defect sensitivity in the presence of SRAF feature variability. This paper presents the results of an evaluation of advance inspection methods and modes such as die to database selective thinline desense, transmitted & reflected light inspections, review system and die to die selective desense to increase inspectability and usable sensitivity using challenging production and R&D masks. Key learnings are discussed.

Proceedings ArticleDOI
16 Nov 2007
TL;DR: In this article, the Thin-Line De-sense (TLD) function was proposed to increase the inspectability and usable sensitivity by generating different regions of sensitivity and thus will match the defect requirement on a particular photomask with SRAFs better.
Abstract: In the ever-changing semi-conductor industry, new innovations and technical advances constantly bring new challenges to fabs, mask-shops and vendors. One of such advances is an aggressive optical proximity correction (OPC) method, sub-resolution assist features (SRAF). On one hand, SRAFs bring a leap forward in resolution improvement during wafer printing; on the other hand they bring new challenges to many processes in mask making. KLA-Tencor Corp. working together with Samsung Electronics Co. developed an additional function to the current HiRes 1 detector to increase inspectability and usable sensitivity during the inspection step of the mask making process. SRAFs bring an unique challenge to the mask inspection process, which mask shops had not experienced before. SRAF by nature do not resolve on wafer and thus have a higher tolerance in the CD (critical dimension) uniformity, edge roughness and pattern defects. This new function, Thin-Line De-sense (TLD), increase the inspectability and usable sensitivity by generating different regions of sensitivity and thus will match the defect requirement on a particular photomask with SRAFs better. The value of TLD was proven in a production setting with more than 30 masks inspected, and resulted in higher sensitivity on main features and a sharp decrease in the amount of defects that needed to be classified.

Proceedings ArticleDOI
05 Oct 2007
TL;DR: ADAS (Automated Defect Analysis Software) as mentioned in this paper is the first product to fully automate mask defect analysis for mask shops and fabs, and classifies and dispositions photomask defects quickly and accurately.
Abstract: ADAS (Automated Defect Analysis Software) is the first product to fully automate mask defect analysis for mask shops and fabs. ADAS classifies and dispositions photomask defects quickly and accurately. Disposition is based on defect size and printability measurements from simulation. Full analysis of inspection reports with 100 defects requires 2 seconds. Printability measurements match AIMS within 6 percent at 3 sigma on 45 nm test masks. Repeatability is 5 percent at 3 sigma over multiple inspections. ADAS can reduce the need for production AIMS measurements by 90% and eliminate operator review errors and the repelliclizations they cause. ADAS increases overall inspection efficiency for mask shop first-inspection and final inspection. It can automate fab requalification inspections and eliminate the need for incoming inspection.

Proceedings ArticleDOI
05 Oct 2007
TL;DR: In this paper, a new captured image simulator based on RCWA was proposed to evaluate the sensitivity of the differential interference contrast (DIC) against bumps and divots with varying sizes.
Abstract: Recently, technologies of ArF laser exposure tools and alternating phase shifting masks (Alt-PSM) are expected to be used in actual production. To utilize such newly developed technologies, it is inevitable to develop a mask inspection technology to check them properly. But it is currently difficult to check them precisely because sufficient image contrast is hard to obtain with any conventional mask inspection tools. Among many observation methods, the differential interference contrast (DIC) is one of a few methods that can be used to observe a differentiated phase shift of transmitted light of an object with high resolution. To study precisely the performance of this optical configuration, we built a new captured image simulator in which Wollaston prisms were modeled as a kind of phase modulation plates. We built this simulator as an extension of the captured image simulator we reported formerly), which is based on Rigorous Coupled- Wave Analysis (RCWA) to calculate diffractions; this enables us to properly treat effects of polarization, high NA, and 3-dimensional mask structures. We applied this simulator to see sensitivities of DIC against bumps and divots with various sizes. We found that the image contrast for small phase defects 20 to 50 nm in sizes is much higher in DIC microscopes than in conventional optical setup with coherence factor less than 1. We also found the dependence of captured images on polarizations and optical axis directions. We expect our simulator to be a useful tool for studying, designing, and developing mask inspection tools.

Patent
13 Sep 2007
TL;DR: In this article, a radiation detector is formed for position-dissolved detection of electromagnetic radiation in extreme ultraviolet wavelength range, where the radiation detector has a solid body for multiplying electrical charge.
Abstract: The system has a radiation detector (30) for position-dissolved detection of electromagnetic radiation (28), where the radiation detector has a solid body for multiplying electrical charge. A collector is provided to determine the position of the multiplied electrical charge by charge distribution. The radiation detector is formed for position-dissolved detection of electromagnetic radiation in extreme ultraviolet wavelength range. Independent claims are also included for the following: (1) a method for determining an aberration of an optical imaging device of a microlithography projection illumination system (2) a mask inspection device for inspection of a lithography mask with a radiation detector.

Proceedings ArticleDOI
29 May 2007
TL;DR: Litho3 as mentioned in this paper is a MEEF-driven detector that can be used run-time during mask inspection to effectively group the critical defects into a single bin based on their potential yield impact.
Abstract: The advent of device miniaturization necessitates sub-half-micron features delineated on reticles where photomask quality, more so than ever, exerts remarkable yield impact on 65 nm node and below. The introduction of advanced reticles considerably augments the mask error enhancement factor (MEEF) in the non-linear regime ensuing aggressive OPC features. The increased MEEF leads to tightened defect capture criteria, in which many of the previously insignificant defects become of interest and may have substantial yield impact. To provide desired sensitivity, a high resolution inspection is a must; it also effectively monitors mask reliability. However, the productivity of such inspection greatly depends on defect disposition efficacy in sorting out critical defects from the large population detected on contaminated masks [1-3]. Anchoring high resolution reticle inspection, wafer fabs are in a relentless pursuit of optimal defect disposition method to meet the throughput demand. In particular, progressive defects or haze, induced by repeated laser exposure, continue to be a source of reticle degradation threatening device yield. Early detection of these defects to circumvent the printability impact becomes vitally important yet challenging. In addition to its size, the defect criticality also largely depends upon defect optical transmittance, residing surface, its proximity to a printing pattern as well as lithography parameters such as NA and sigma [4-6]. A MEEF-driven lithographic detector named "Litho3" has been designed that can be used run-time during mask inspection to effectively group the critical defects into a single bin based on their potential yield impact. The coordinates of these critical defects, identified by the above Litho3 detector, can then be transferred from reticle to wafer and subsequently subject to printability validation, upon which defective sites can be analyzed thoroughly on reticle or wafer review tools. Such capability reduces inspection cycle time by improving defect disposition efficacy, also assists in determining lithography process window and a further comprehension of defect progression mechanism.

Proceedings ArticleDOI
TL;DR: Mask Manufacturing Rules Checking (MRC) as discussed by the authors is an automated process to detect mask pattern data irregularities that will cause mask inspection problems, which is unique from the DRC or Design Rule Checking (DRC) checks typically performed before sending pattern data to the mask manufacturer.
Abstract: Mask Manufacturing Rules Checking (MRC) has been established as an automated process to detect mask pattern data that will cause mask inspection problems. This methodology is unique from the Design Rule Checking (DRC) or Design for Manufacturing (DFM) checks typically performed before sending pattern data to the mask manufacturer in that it examines the entire mask layout and the spatial relationship between multiple patterns in their final orientation, scale, and tone. In contrast, DRC and DFM checks are usually performed on individual pattern files. Also, DRC and DFM checks are not always performed after all pattern transformations are complete, and errors can be introduced that are not caught until the mask is eventually printed on wafers. Therefore, MRC can often be the only comprehensive geometric integrity test performed before the mask is manufactured and the last opportunity to catch critical errors that might have disastrous consequences to yield and consequently to product schedules. In this paper we review the concepts and implementation of MRC in a merchant mask manufacturing enterprise and introduce methods to empower DFM decisions by mask customers based on MRC results.

Proceedings ArticleDOI
16 Nov 2007
TL;DR: In this article, the authors investigated the defect detectability of a 2nd generation blank inspection tool and a patterned EUVL mask inspection tool, whose printability has been estimated from wafer printing results and actinic aerial images results.
Abstract: The readiness of a defect-free extreme ultraviolet lithography (EUVL) mask blank infrastructure is one of the main enablers for the insertion of EUVL technology into production. It is essential to have sufficient defect detection capability and understanding of defect printability to develop a defect-free EUVL mask blank infrastructure. The SEMATECH Mask Blank Development Center (MBDC) has been developing EUVL mask blanks with low defect densities with the Lasertec M1350 and M7360, the 1st and 2nd generations, respectively, of visible light EUVL mask blank inspection tools. Although the M7360 represents a significant improvement in our defect detection capability, it is time to start developing a 3rd generation tool for EUVL mask blank inspection. The goal of this tool is to detect all printable defects; therefore, understanding defect printability criteria is critical to this tool development. In this paper, we will investigate the defect detectability of a 2nd generation blank inspection tool and a patterned EUVL mask inspection tool. We will also compare the ability of the inspection tools to detect programmed defects whose printability has been estimated from wafer printing results and actinic aerial images results.

Proceedings ArticleDOI
Yoel Zabar1, Chaim Braude1, Shmoolik Mangan1, Dan Rost, Raunak Mann 
TL;DR: In this article, the Aera193i was used for inspection of phase shift masks for immersion lithography and showed good performance with very low false alarms and low nuisance call rate.
Abstract: Advanced lithography became possible using breakthrough technologies, including phase shift masks, advanced illumination modes, aggressive OPC patterns and 193nm immersion optics. The Applied Materials Aera193 system, an at-wavelength aerial reticle inspection tool, was introduced for the 90-65nm technology nodes. In the era of immersion lithography and 55-45nm nodes, there is an increasing demand for Aerial inspection under immersion conditions. To face this demand, the Aera193i was upgraded with expanded illumination and collection optics to support up to 1.4 NA immersion conditions. Here, we describe novel Aerial inspection results under immersion conditions. We studied the detection of a variety of defect types on 55nm node phase shift masks for immersion lithography. We found that the immersion-emulation inspection was able to demonstrate a good detection line, with extremely low false alarms and nuisance call rate. We also studied the relationship between Aerial defect detection and actual defect printability by printing the same mask on wafer. We found good correlation between Aera193i detection line and actual defect printability. We also address the polarization effects under immersion NA. We demonstrate that under polarized stepper illumination the polarization effects on the image are negligible, while aerial imaging reliably emulates mask pattern polarization effects.

Journal ArticleDOI
TL;DR: The description of the new Virtual Scanning Algorithms (VSA), providing sub-pixel resolution, is presented, which allows the inspection system to work without using maximum sensitivity settings with the same detection capability while keeping maximum sensitivity to printable defects.
Abstract: The description of the new Virtual Scanning Algorithms (VSA), providing sub-pixel resolution, is presented. VSA are developed specially for EМ-6029B and EM-6329 die-to-database reticle and photomask inspection systems of UE"KBTEM-OMO" Minsk, Belarus. For the effective building of these algorithms into the inspection system the special two-level dynamic model of optical image was created. This model allows to perform the precise alignment of optical image (collected from the reticle) with a reference image (generated from the design data), and to perform the analysis of optical image to get optimum mutual position of the digitization grid of the inspection system and detected defects position. VSA calculate the second level of this model. Both the VSA and the second level of dynamic model of optical image are presented the Virtual Scanning sub-System (VSS). VSS allows to increase the detection capability of automatic reticle inspection system by means of achievement sub-pixel resolution. During detection of semi-transparent defects, VSA allow to get maximum available amplitude contrast of the CCD-signals on the image model. VSA allow also the inspection system to work without using maximum sensitivity settings with the same detection capability. Result is the reduction of the risk of false or nuisance defects detection while keeping maximum sensitivity to printable defects. The VSS design and test results are discussed. Ill. 3, bibl. 5 (in English; summaries in English, Russian and Lithuanian).

Proceedings ArticleDOI
15 May 2007
TL;DR: In this article, the influence of base pattern size on inspection sensitivities for opaque and clear extension defects is discussed, and a commercially available DUV system equipped with reflective inspection optics with the shortest inspection wavelength of 199nm in detecting pattern defect on EUVL mask of hp45nm programmed defect pattern was evaluated.
Abstract: We evaluated the capability of a commercially available DUV system equipped with reflective inspection optics with the shortest inspection wavelength of 199nm in detecting pattern defect on EUVL mask of hp45nm programmed defect pattern. The sensitivity of the system for opaque extension defects for hp45nm node was quite acceptable but for clear extension defects the sensitivity of the system was rather poor. In this paper, the influence of base pattern size on inspection sensitivities for opaque and clear extension defects is discussed.

Proceedings ArticleDOI
03 May 2007
TL;DR: In this article, the authors employed widely used KLA SLF inspection systems and investigated inspection scan modes (Fastscan mode and Normal scan mode) and algorithms to optimize recipes on STARlight.
Abstract: IC manufacturing fabs are experiencing mask reliability issues caused by progressive mask defects, such as crystal growth, haze and etc. with the increase of the usage of DUV, especially 193nm lithography on 90nm technology node and beyond. 193nm lithography has triggered an increasing demand for mask re-qualification in those manufacturing fabs which process 90nm technology node wafers in mass production. Due to dramatic increase in re-qualification demand, the capacity of mask inspection becomes constrain of the manufacturing output. In this paper authors employed widely used KLA SLF inspection systems and investigated inspection scan modes (Fastscan mode and Normal scan mode) and algorithms to optimize recipes on STARlight. Economically and practically, it is important for wafer fabs to optimize mask inspection recipes and improve throughput in order to extend the capacity of mask inspections without additional equipment investment. The Fastscan mode has the capability to move reticle stage as fast as twice of the Normal scan mode in x-direction resulting in a substantial saving of inspection time. Even faster stage move causes slightly reduction on the sampling of contamination defects, the overall defect inspection maintains the same quality as the Normal scan mode in terms of early warning of mask re-qualification. During the study we collect and analyze inspection data on two production masks and a standard test mask Orion5B. Based on empirical data collected in the study, the Fastscan inspection mode is able to reduce inspection time approximately 28% to 38% at P150.

Patent
21 Jun 2007
TL;DR: In this paper, the authors proposed a mask inspection device that has higher detection sensitivity, even if the wavelength of a light source is identical, by observing a pattern formed on a mask substrate.
Abstract: PROBLEM TO BE SOLVED: To provide a mask inspection device that has higher detection sensitivity, even if the wavelength of a light source is identical. SOLUTION: The mask inspection device 100, according to one embodiment of the present invention adapted to inspect a mask 106 by observing a pattern formed on a mask substrate 106a, is constituted of an objective lens 108 for observing an observation region 120 that is a part of a pattern surface 106d, which is disposed on the opposite side to the pattern surface 106d of the mask substrate 106a; and a lighting means for emitting substantially circular polarized light to the observation region 120. COPYRIGHT: (C)2009,JPO&INPIT