scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2008"


Proceedings ArticleDOI
Rajesh Nagpal1, Firoz Ghadiali1, Jun Kim1, Tracy Huang2, Song Pang2 
19 May 2008
TL;DR: Chou et al. as discussed by the authors proposed Wafer Plane Inspection (WPI) to eliminate the mask restrictions imposed on OPC solutions by inspection tool limitations in the past by using a threshold model to enhance the inspectability of printing defects.
Abstract: Readiness of new mask defect inspection technology is one of the key enablers for insertion & transition of the next generation technology from development into production. High volume production in mask shops and wafer fabs demands a reticle inspection system with superior sensitivity complemented by a low false defect rate to ensure fast turnaround of reticle repair and defect disposition (W. Chou et al 2007). Wafer Plane Inspection (WPI) is a novel approach to mask defect inspection, complementing the high resolution inspection capabilities of the TeraScanHR defect inspection system. WPI is accomplished by using the high resolution mask images to construct a physical mask model (D. Pettibone et al 1999). This mask model is then used to create the mask image in the wafer aerial plane. A threshold model is applied to enhance the inspectability of printing defects. WPI can eliminate the mask restrictions imposed on OPC solutions by inspection tool limitations in the past. Historically, minimum image restrictions were required to avoid nuisance inspection stops and/or subsequent loss of sensitivity to defects. WPI has the potential to eliminate these limitations by moving the mask defect inspections to the wafer plane. This paper outlines Wafer Plane Inspection technology, and explores the application of this technology to advanced reticle inspection. A total of twelve representative critical layers were inspected using WPI die-to-die mode. The results from scanning these advanced reticles have shown that applying WPI with a pixel size of 90nm (WPI P90) captures all the defects of interest (DOI) with low false defect detection rates. In validating CD predictions, the delta CDs from WPI are compared against Aerial Imaging Measurement System (AIMS), where a good correlation is established between WPI and AIMS TM .

35 citations


Journal ArticleDOI
TL;DR: The SEMATECH Berkeley actinic inspection tool (AIT) as mentioned in this paper uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a charge coupled device camera.
Abstract: The SEMATECH Berkeley actinic inspection tool (AIT) is an extreme ultraviolet (EUV)-wavelength mask inspection microscope designed for direct aerial image measurements and precommercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a charge coupled device camera. The authors present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100nm mask features and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and numerical aperture (NA) values up to 0.0875, emulating the spatial resolution of a 0.35NA 4× EUV stepper. Illumination uniformity is above 90% for mask areas 2μm wide and smaller. An angle-scanning mirror reduces the high ...

29 citations


Proceedings ArticleDOI
TL;DR: The SEMATECH Berkeley Actinic Inspection Tool (AIT) as discussed by the authors uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera.
Abstract: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-{micro}m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured {sigma} values of approximately 0.125 at 0.0875 NA.

21 citations


Proceedings ArticleDOI
30 May 2008
TL;DR: Aerial imaging is the optimal discriminator between printing and non-printing defects and the tight linear correlation between defect signal and CD effect in aerial inspection systems, allows for an optimized and effective mask inspection, suitable for all mask types and technologies.
Abstract: Advanced photomasks for low-k 1 lithography, are prone to various defects sources: contamination, geometry, transmission, phase, etc. These defects exhibit a complex relation between the signal from an imaging detector and its print related impact, with important consequences for the performance of the detection scheme under nuisance-ubiquity conditions. We studied numerically several imaging schemes, with respect to their defect detection signal and its relation to the associated CD effect. We show that for actinic aerial imaging detection the signal is tightly correlated and linearly scaled with the induced CD variation regardless of defect source and location. Conversely, the correlation of non-actinic and/or non-aerial (high-resolution based) detection signal with printing effect is poor. Whereas the linear behavior characterizing aerial imaging is independent of the distribution of defect attributes, the statistics of non-aerial defect signal is shown to be highly sensitive to defect distribution. Such non-aerial detection schemes would generally have to compromise detection sensitivity in order to maintain a constant nuisance false alarm rate. Aerial imaging is therefore the optimal discriminator between printing and non-printing defects. The tight linear correlation between defect signal and CD effect in aerial inspection systems, allows for an optimized and effective mask inspection, suitable for all mask types and technologies. Specifically, we show here that such a tool allows a straightforward migration from 65nm node to 45nm and 32nm with double patterning, by tuning the detection threshold without being flooded by nuisance induced false alarms.

17 citations


Proceedings ArticleDOI
19 May 2008
TL;DR: In this paper, the ASET Mask D2I program was used to reduce mask manufacturing time and cost by using a common data format, pattern prioritization based on design intent, an improved approach in the use of repeating patterns and parallel processing.
Abstract: As the feature sizes of LSI become smaller, the increase in mask manufacturing time (TAT) and cost is becoming critical and posing challenges to the mask industry and device manufacturers. In May 2006, ASET Mask D2I launched a 4-year program for the reduction in mask manufacturing TAT and cost, and the program was completed in March 2010. The focus of the program was on the design and implementation of a synergetic strategy involving concurrent optimization of MDP, mask writing, and mask inspection. The strategy was based upon four key elements: a) common data format, b) pattern prioritization based on design intent, c) an improved approach in the use of repeating patterns, and d) parallel processing. In the program, various software and hardware tools were developed to realize the concurrent optimization. After evaluating the effectiveness of each item, we estimated the reduction in mask manufacturing TAT and cost by the application of results obtained from the Mask D2I programs. We found that mask manufacturing TAT and cost can be reduced to 50% (or less) and to about 60% respectively.

15 citations


Journal ArticleDOI
TL;DR: In this article, a rapid mask fabrication technology has been developed for the micro-abrasive jet machining (μ-AJM) by scanning the focused UV laser beam, a micromask pattern was fabricated directly without photolithography process and photomask.
Abstract: Micro-machining of a brittle material such as glass or silicon is important in micro fabrication. Particularly, micro-abrasive jet machining (μ-AJM) has become a useful technique for micro-machining of such materials. The μ-AJM process is mainly based on the erosion of a mask which protects brittle substrate against high velocity of micro-particles. Therefore, fabrication of an adequate mask is very important. Generally, for the fabrication of a mask in the μ-AJM process, a photomask based on the semi-conductor fabrication process was used. In this research a rapid mask fabrication technology has been developed for the μ-AJM. By scanning the focused UV laser beam, a micro-mask pattern was fabricated directly without photolithography process and photomask. Therefore, rapid and economic mask fabrication can be possible for the micro-abrasive jet machining. Two kinds of mask patterns were fabricated by using SU-8 and photopolymer (Watershed 11110). Using fabricated mask patterns, abrasive-jet machining of Si wafer was conducted successfully.

15 citations


Proceedings ArticleDOI
19 May 2008
TL;DR: The development of a new low cost mask inspection technology with short Turn Around Time (TAT) is reported on, as a result of adopting a method of selecting defect detection sensitivity level for every local area defined by such factors as defect judgment algorithm and defect judgment threshold as one of the pseudo-defect-reduction technique necessary to shorten mask inspection TAT.
Abstract: The cost of mask is increasing dramatically along with the continuous semiconductor scaling. ASET started a 4-year project to reduce mask manufacturing cost and TAT by optimizing Mask Data Preparation (MDP), mask writing, and mask inspection in 2006, with the support from the New Energy and Industrial Technology Development Organization (NEDO). We report on the development of a new low cost mask inspection technology with short Turn Around Time (TAT), as a result of adopting a method of selecting defect detection sensitivity level for every local area, defined by such factors as defect judgment algorithm and defect judgment threshold, as one of the pseudo-defect-reduction technique necessary to shorten mask inspection TAT. Those factors are extracted from the database of Mask Data Rank (MDR) and converted on the basis of pattern prioritization determined at device design stage, using parallel computation.

13 citations


Patent
12 Jun 2008
TL;DR: In this paper, a mask inspection device for high resolution was proposed, which can form a liquid immersion optical system, because only pure water 114 having about 1.44 of refractive index and a mask substrate 108a having approximately 1.56 of this paper.
Abstract: PROBLEM TO BE SOLVED: To provide a mask inspection device of high resolution. SOLUTION: This mask inspection device 100 of the present invention can form a liquid immersion optical system, because only pure water 114 having about 1.44 of refractive index and a mask substrate 108a having about 1.56 of refractive index exist without forming an air layer between a pattern face 108c and an objective lens 112, as the objective lens 112 is arranged in a reverse face side of the pattern face 108c of a mask 108. The resolution is thereby enhanced compared with a conventional mask inspection device, even when using the same inspection wavelength. COPYRIGHT: (C)2008,JPO&INPIT

12 citations


Proceedings ArticleDOI
TL;DR: In this paper, the authors describe the unique measurement capabilities of a prototype actinic (EUV wavelength) microscope that is capable of detecting small defects and reflectivity changes that occur on the scale of microns to nanometers.
Abstract: The effort to produce defect-free mask blanks for EUV lithography relies on increasing the detection sensitivity of advanced mask inspection tools, operating at several wavelengths. We describe the unique measurement capabilities of a prototype actinic (EUV wavelength) microscope that is capable of detecting small defects and reflectivity changes that occur on the scale of microns to nanometers. The defects present in EUV masks can appear in many well-known forms: as particles that cause amplitude or phase variations in the reflected field; as surface contamination that reduces reflectivity and contrast; and as damage from inspection and use that reduces the reflectivity of the multilayer coating. This paper presents an overview of several topics where scanning actinic inspection makes a unique contribution to EUVL research. We describe the role of actinic scanning inspection in defect repair studies, observations of laser damage, actinic inspection following scanning electron microscopy, and the detection of both native and programmed defects.

12 citations


Proceedings ArticleDOI
19 May 2008
TL;DR: The IntenCD TM as discussed by the authors application generates a high-precision map of the CD variation, and allows a tighter control of mask manufacturing process and qualification, without loss of precision compared to slow, discrete measurement tools such as CD SEM, while offering complete mask coverage and higher measurement definition.
Abstract: The aerial images of modern photomasks are highly susceptible to CD errors, owing to the high MEEF values characteristic of the low-k 1 regime. The requirement for tight wafer CD control thus places stringent constraints on mask errors. Nevertheless, multiple physical variations of the mask parameters can lead to the same aerial CD error. We introduce IntenCD TM , a novel, fast and precise CD variation mapping application running on Applied Materials' aerial image inspection tools. The IntenCD application generates a high-precision map of the CD variation, and allows tighter control of mask manufacturing process and qualification, without loss of precision compared to slow, discrete measurement tools such as CD SEM, but with a higher throughput, while offering complete mask coverage and higher measurement definition. We study and provide the theoretical basis to the IntenCD application. We analyze image formation of dense, repetitive mask patterns under aerial imaging conditions and show, analytically and numerically (through a series of simulations), that for a small perturbation of the nominal physical mask parameters, the relative variation of the average aerial intensity scales linearly with the relative aerial CD error. This linear relation, unique to aerial imaging mask inspection, is independent of the physical source of the variation and of the mask design pitch. Our results imply that a robust aerial intensity measurement can detect sub-nm aerial CD variations. We discuss some practical problems that have to be addressed to obtain this challenging resolution, and describe in some detail the technological solutions.

11 citations


Proceedings ArticleDOI
TL;DR: In this paper, double patterning (DPT) is used for 45nm half-pitch or beyond and the authors evaluated the performance of DP for SE available resolution on lithography performance, pattern decomposition, and inspection load.
Abstract: Double patterning technology (DPT) is one of the most practical candidate technologies for 45nm half-pitch or beyond while conventional single exposure (SE) is still dominant with hyper NA avoiding DPT difficulties such as split-conflict or overlay issue. However small target dimension with hyper NA and strong illumination causes OPC difficulty and small latitude of lithography and photomask fabricated with much tight specification are required for SE. Then there must be double patterning (DP) approach even for SE available resolution. In this paper DP for SE available resolution is evaluated on lithography performance, pattern decomposition, photomask fabrication and inspection load. DP includes pattern pitch doubled of SE, then lithography condition such as mask error enhancement factor (MEEF) is less impacted and the lower MEEF means less tight specification for photomask fabrication. By using Synopsys DPT software, there are no software-induced conflicts and stitching is treated to be less impact. And also this software detects split-conflicts such as triangle or square placement from contact spacing. For estimating photomask inspection load, programmed defect pattern and circuit pattern on binary mask are prepared. Smaller MEEF leads less impact to defect printing which is confirmed with AIMS evaluation. As an inspection result, there are few differences of defect sensitivity for only dense features and also few differences of false defect counts between SE and DP with less NA. But if higher NA used, DP's inspection sensitivity is able to be lowered Then inspection load for DP would be lighter than SE.

Proceedings ArticleDOI
24 Oct 2008
TL;DR: In this paper, a binary-type attenuated phase shift film is proposed to improve the ability to inspect smaller critical dimensions and smaller OPC features without loss of inspectability and sensitivity extending the capability of existing inspection hardware for 32nm ground rule masks.
Abstract: Aggressive optical proximity correction (OPC) has enabled the extension of advanced lithographic technologies to the 32nm node. The associated sub-resolution features, feature-feature spacings, and fragmented edges in the design data are difficult to reproduce on masks and even more difficult to inspect. The patterns themselves must be differentiated from defects for inspectability, while the ability to recognize small deviations must be maintained for sensitivity. This must be done without restricting necessary OPC design features. The semi-transparent nature of industry-standard 6% attenuated phase shift substrates introduces a host of problems relative to inspectable dimensions and subsequent defect sensitivities. The result is a reduction in inspectability, defect sensitivity and the inability to inspect smaller critical dimensions and OPCed features. The introduction of a binary-type attenuated phase shift film improves the ability to inspect smaller critical dimensions and smaller OPC features without loss of inspectability and sensitivity extending the capability of existing inspection hardware for 32nm ground rule masks. This paper introduces inspection characterization results for this new film, opaque MoSi on glass (referred to as OMOG in this paper) and draws a correlation between the film’s transmission qualities and inspectability of 32nm OPC features. The paper will further show a correlation between OPC feature size and defect sensitivity for 32nm ground rule designs. Aerial Image (AIMS) analysis will be used to identify areas where the enhanced inspection capability can be leveraged to avoid unnecessary restrictions on OPC. Keywords: Mask Inspection, OPC, MRC, attenuator, AIMS

Proceedings ArticleDOI
TL;DR: In this paper, a new method to find repeating patterns from OPCed layout data after fracturing was proposed, and the authors found that using the new method efficient extraction of repeating patterns was possible and shot count of mask writing decreases greatly.
Abstract: As the feature size of LSI becomes smaller, the increase of mask manufacturing cost is becoming critical. Association of Super-Advanced Electronics Technologies (ASET) started a 4-year project aiming at the reduction of mask manufacturing cost and TAT by the optimization of MDP, mask writing, and mask inspection in 2006 under the sponsorship of New Energy and Industrial Technology Development Organization (NEDO) [1]. In the project, the optimization is being pursued from the viewpoints of common data format, pattern prioritization, repeating patterns, and parallel processing in MDP, mask writing, and mask inspection. In the total optimization, repeating patterns are applied to the mask writing using character projection (CP) and efficient review in mask inspection. In this paper, we describe a new method to find repeating patterns from OPCed layout data after fracturing. We found that using the new method efficient extraction of repeating patterns even from OPCed layout data is possible and shot count of mask writing decreases greatly.

Proceedings ArticleDOI
17 Oct 2008
TL;DR: Wafer Plane Inspection (WPI) as mentioned in this paper uses a high NA inspection of the mask to construct a physical mask model, which is used to create the mask image in the wafer plane.
Abstract: Wafer Plane Inspection (WPI) is a novel approach to inspection, developed to enable high inspectability on fragmented mask features at the optimal defect sensitivity. It builds on well-established high resolution inspection capabilities to complement existing manufacturing methods. The production of defect-free photomasks is practical today only because of informed decisions on the impact of defects identified. The defect size, location and its measured printing impact can dictate that a mask is perfectly good for lithographic purposes. This inspection - verification - repair loop is timeconsuming and is predicated on the fact that detectable photomask defects do not always resolve or matter on wafer. This paper will introduce and evaluate an alternative approach that moves the mask inspection to the wafer plane. WPI uses a high NA inspection of the mask to construct a physical mask model. This mask model is used to create the mask image in the wafer plane. Finally, a threshold model is applied to enhance sensitivity to printing defects. WPI essentially eliminates the non-printing inspection stops and relaxes some of the pattern restrictions currently placed on incoming photomask designs. This paper outlines the WPI technology and explores its application to patterns and substrates representative of 32nm designs. The implications of deploying Wafer Plane Inspection will be discussed.

Proceedings ArticleDOI
19 May 2008
TL;DR: In this article, the authors describe a connection between a defect's detection signal and the printed CD variation on an adjacent pattern, and show that under aerial imaging conditions, the defect signal and CD variation are linearly related, regardless of defect's attributes, provided that the defect resides close to a pattern's transition edge, and the pattern is surrounded by a dense pattern.
Abstract: A major challenge of low-k1 microlithography that has to be addressed by any photomask defect detection strategy is the complex relation between the signal of the defect in the detector and its impact, in terms of printing errors, on the processed wafer. This non-trivial relation is immanent to the large MEEF values characterizing lithography at sub-wavelength features. One common method to work around this problem is to use aerial imaging optics which emulates the stepper exposure process. Currently available aerial inspection and review tools based on the well established fact that CD variation in the aerial image closely represents the CD variation on the wafer. Published literature explains why a defect's printing effect can be captured, with high correlation, by aerial imaging optics. Here we describe a novel connection between a defect's detection signal and the printed CD variation on an adjacent pattern. This connection can be exploited by aerial imaging mask inspection systems to ensure that their detection thresholds are set to detect CD variation of a given threshold. We show that under aerial imaging conditions, the defect signal and CD variation are linearly related, regardless of defect's attributes, provided that the defect resides close to a pattern's transition edge, or is surrounded by a dense pattern. We present experimental results, demonstrating this linear scaling between the defect signal and CD variation, and show practical application results of aerial imaging mask inspection, with implications to production mask fab.

Patent
07 Aug 2008
TL;DR: In this article, a distance between inspection areas neighboring in a predetermined direction is calculated based on inspection area control information defined in photomask inspection data, and the inspection area is combined to produce an optimization inspection area.
Abstract: According to a mask defect inspection data generating method, a distance between inspection areas neighboring in a predetermined direction is calculated based on inspection area control information defined in photomask inspection data. It is determined whether or not the calculated distance between inspection areas is less than a predetermined distance. When it is determined that the distance between inspection areas is less than a predetermined distance, the inspection area is combined to produce an optimization inspection area. The produced optimization inspection area information is defined in inspection layout data for making a reference in die-to-database defect inspection.

Proceedings ArticleDOI
24 Oct 2008
TL;DR: This paper presents the method that NuFlare photomask inspection systems can use to strongly reduce pseudo detections by use of TK-CMI software.
Abstract: In this paper we present the method that NuFlare photomask inspection systems can use to strongly reduce pseudo detections by use of TK-CMI software The NuFlare inspection system is capable to detect the smallest defects in the 45 and 32-nm nodes and has recently been introduced to production It links up with a compute cluster with Takumi's Criticality-Marker Information software (TK-CMI) TK-CMI quickly analyzes the ~200GB post-OPC layout or multi-layer pre-OPC layout and assigns various types of criticality regions The basic set of criticalities is made to address the challenges that typical maskmakers experience The TKCMI system also supports design-intent-based criticalities The NuFlare inspection system uses this full-mask criticality information and generates flexible inspection recipes that inspect low-criticality areas with relaxed sensitivity resulting in reduction of pseudo detections in such regions

Patent
16 May 2008
TL;DR: In this paper, a method of precisely inspecting the entire surface of a mask at a high speed in consideration of optical effects of the mask is proposed, which includes designing a target mask layout for a pattern to be formed on a wafer, and extracting an effective mask layout using an inspection image measured from the target mask layouts using an aerial image inspection apparatus as a mask inspection apparatus.
Abstract: A method of precisely inspecting the entire surface of a mask at a high speed in consideration of optical effects of the mask. The method includes designing a target mask layout for a pattern to be formed on a wafer, and extracting an effective mask layout using an inspection image measured from the target mask layout using an aerial image inspection apparatus as a mask inspection apparatus. The effective mask layout is input to a wafer simulation tool for calculating a wafer image to be formed on the wafer. Optical effects of the mask are detected by comparing the target mask layout with the effective mask layout.

Proceedings ArticleDOI
19 May 2008
TL;DR: The introduction of a new application for CDU monitoring into the mask making process at Samsung, developed by Applied Materials, is described and a scheme for integrating the IntenCDTM application as part of mask qualification procedure at maskshops is suggested.
Abstract: Lithographic process steps used in today's integrated circuit production require tight control of critical dimensions (CD). With new design rules dropping to 32 nm and emerging double patterning processes, parameters that were of secondary importance in previous technology generations have now become determining for the overall CD budget in the wafer fab. One of these key parameters is the intra-field mask CD uniformity (CDU) error, which is considered to consume an increasing portion of the overall CD budget for IC fabrication process. Consequently, it has become necessary to monitor and characterize CDU in both the maskshop and the wafer fab. Here, we describe the introduction of a new application for CDU monitoring into the mask making process at Samsung. The IntenCD TM application, developed by Applied Materials, is implemented on an aerial mask inspection tool. It uses transmission inspection data, which contains information about CD variation over the mask, to create a dense yet accurate CDU map of the whole mask. This CDU map is generated in parallel to the normal defect inspection run, thus adding minimal overhead to the regular inspection time. We present experimental data showing examples of mask induced CD variations from various sources such as geometry, transmission and phase variations. We show how these small variations were captured by IntenCD TM and demonstrate a high level of correlation between CD SEM analysis and IntenCD TM mapping of mask CDU. Finally, we suggest a scheme for integrating the IntenCD TM application as part of mask qualification procedure at maskshops.

Patent
27 Nov 2008
TL;DR: In this article, a mask inspection light source with a DUV laser beam at a sufficient power to be used as a light source for mask inspection was proposed. But the beam was generated from a laser device with a mode-lock titanium sapphire laser oscillator and regenerative amplifier.
Abstract: PROBLEM TO BE SOLVED: To provide a mask inspection light source capable of supplying a DUV laser beam at a sufficient power to be used as a mask inspection light source. SOLUTION: The mask inspection light source 101' includes: a laser device with a mode-lock titanium sapphire laser oscillator 111 that generates a laser beam and a titanium sapphire regenerative amplifier 112 that excites the laser beam generated from the mode-lock titanium sapphire laser oscillator 111 with a green laser beam in continuous operation; and a wavelength converting device that generates fourth harmonics of the laser beam extracted from the laser device. COPYRIGHT: (C)2009,JPO&INPIT

Proceedings ArticleDOI
17 Oct 2008
TL;DR: The InSight TM 3DAFM as discussed by the authors was developed to meet the increasing demand for CD uniformity on photo masks, and achieved 2X improvement in CD and depth precision on advanced photo masks features over the previous generation 3D-AFM.
Abstract: According to the 2007 edition of the ITRS roadmap, the requireme nt for CD uniformity of isolated lines on a binary or attenuated phase shift mask is 2.1nm (3 1 ) in 2008 and requires improvement to1.3 nm (3 1 ) in 2010. In order to meet the increasing demand for CD uniformity on photo masks, improved CD metrology is required. A next generation AFM, InSight TM 3DAFM, has been developed to meet these increased requirements for advanced photo mask metrology. The new system achieves 2X improvement in CD and depth precision on advanced photo masks features over the previous generation 3D-AFM. This paper provides measurement data including depth, CD, and sidewall angle metrology. In addition the unique capabilities of damage-free defect inspection and Nanoimprint characterization by 3D AFM are presented. Keywords: Reference metrology, measurement uncertainty, critical dimension, 3D-AFM, photo mask, defect characterization, Nanoimprint 1. INTRODUCTION With the transition to the 32 nm node around the corner and the aggressive use of OPC to enhance resolution, feature sizes on photo masks will rapidly shrink below 100nm. This presents new challenges for defect characterization during mask inspection and repair. Furthermore, the requirements fo r critical dimension (CD) and depth measurements start to challenge traditional inspection methods with their inherent limitations such as material bias, proximity effects, as well as global and local charging. Effects such as line edge roughness that did not significantly contribute to the measured CD value above 100nm start to take up a significant amount of the total CD budget and need to be characterized. According to the 2007 edition of the ITRS roadmap the requirements for CD uniformity for isolated features on binary or attenuated phase shift masks is currently 2.1 nm (3 1 ) and will decrease to 1.3 nm (3 1 ) by 2010. In addition to these requirements the 2007 ITRS roadmap has replaced precision with a new quality me tric, measurement uncertainty (m. u.). Measurement uncertainty includes precision, but also accounts for additional errors due to tool matching, sampling uncertainty, and others making it a more stringent method to evaluate metrology performance. In this paper we introduce the InSight • 3D-AFM automated mask metrology platform and evaluate its performance as a dimensional reference metrology tool on chrome and resist features. We characterize baseline tool precision, measurement uncertainty on NIST-traceable metrology standards and precision on chrome and resist features. In addition we present small trench measurement capabilities on nano -imprinted resist structures and explorer its imaging capabilities for defect characterization.

Proceedings ArticleDOI
24 Oct 2008
TL;DR: In this paper, advanced immersion pellicles from several suppliers are evaluated and compared with conventional 45 nm pellicle for the following quality parameters: physical durability, foreign material, ease of demounting and glue removal, chemical outgassing, mask flatness distortion and susceptibility to radiation damage.
Abstract: Advanced immersion lithography utilizes higher numerical aperture (NA) stepper lenses resulting in higher angles of light illumination through photomasks. Transmission in conventional pellicles (830 nm thickness) is generally maximized at 0 degree illumination and decreases significantly at the higher angles. Most pellicle suppliers have developed thinner pellicle membranes (~280 nm) which allow considerably improved transmission of light at angles up to 20 degrees. In addition, aluminum frames have been shortened, potentially allowing inspection closer to the inside of the frame and reduced mask flatness distortion upon pellicle mount. Suppliers have also developed advanced adhesives which reduce outgassing even beyond the low levels obtained with current 45 nm pellicles. In this paper, advanced immersion pellicles from several suppliers are evaluated and compared with conventional 45 nm pellicles for the following quality parameters: physical durability, foreign material, ease of demounting and glue removal, chemical outgassing, mask flatness distortion and susceptibility to radiation damage. Improvements in mask inspection and pellicle optical transmission at higher incident angles are also evaluated and are discussed. Keywords : Mask flatness, pellicle, adhesive, frame

Patent
01 May 2008
TL;DR: In this paper, the exposure process for generating the mask images may be performed on the basis of different exposure parameters, such as exposure doses, in order to enhance the probability of detecting defects and also estimating the effect thereof depending on the varying exposure parameters.
Abstract: Mask defects, such as crystal growth defects and the like, may be efficiently detected and estimated at an early stage of their development by generating test images of the mask under consideration and inspecting the images on the basis of wafer inspection techniques in order to identify repeatedly occurring defects. In some illustrative embodiments, the exposure process for generating the mask images may be performed on the basis of different exposure parameters, such as exposure doses, in order to enhance the probability of detecting defects and also estimating the effect thereof depending on the varying exposure parameters. Consequently, increased reliability may be achieved compared to conventional direct mask inspection techniques.

Patent
04 Mar 2008
TL;DR: In this paper, detection of thin line or sub-resolution assist features may be used for selective sensitivity during photomask inspection, and methods and apparatus relating to the inspection of photomasks are described.
Abstract: Methods and apparatus relating to the inspection of photomasks are described. In an embodiment, detection of thin line or sub-resolution assist features may be used for selective sensitivity during photomask inspection. Other embodiments are also described.

Proceedings ArticleDOI
Yongkyoo Choi1, Sunghyun Oh1, Munsik Kim1, Yongdae Kim1, Changreol Kim1 
TL;DR: In this article, a new inspection system with DUV laser beam and high NA optic for EUV mask has been developed to inspect defects on blank mask, after resist develop and after etch processed mask.
Abstract: A new inspection system with DUV laser beam and high NA optic for EUV mask has been developed to inspect defects on EUV blank mask and defects by process and handling. The development of new reflective image and optics has increased inspection speed on EUV mask before absorber etch and after absorber etch. Defect classification and operation has increased the productivity of inspection and particle control on EUV mask process. With this new inspection system, defects on blank mask, after resist develop and after etch processed mask were classified and evaluated to install EUV mask process. And defect sensitivities according to various pattern size and process steps were evaluated with required defect size of simulated printing effect on wafer. Designed defect pattern of 46nm node were prepared. Blank masks from Hoya were used. Patterns were exposed using 50KeV electron beam writer. After resist develop, patterns with program defect were inspected. After absorber etching, defects were inspected and evaluated. According to sub film, inspection condition was optimized. Using simulation tool, defects printability were simulated and compared with sensitivity of this inspection tool. Our results demonstrate that this inspection tool is very effective to detect and identify defects and their sources on EUV mask process. In this paper, mask inspection performance of high NA, DUV optic with short working distance was evaluated and described on programmed EUV mask.

Patent
30 Sep 2008
TL;DR: In this paper, an inclination angle of a fine structure pattern is calculated from the current value of electron beam at the time of photographing and the white bandwidth of an SEM image.
Abstract: PROBLEM TO BE SOLVED: To provide a mask inspection method capable of determining whether a transfer result of a wanted dimension pattern is achieved or not by measuring the sidewall shape of a fine structure pattern in a non-destructive manner. SOLUTION: An inclination angle of a fine structure pattern is calculated from the current value of electron beam at the time of SEM photographing and the white bandwidth of an SEM image. A skirting degree at the bottom of the fine structure pattern is calculated from the fine profile of the SEM image. By using CD-SEM, the pattern inclination angle and the skirting degree can be measured in a non-destructive manner. By utilizing a transfer simulator, whether the transfer result of a wanted dimension pattern is achieved or not is determined precisely. COPYRIGHT: (C)2010,JPO&INPIT

Proceedings ArticleDOI
19 May 2008
TL;DR: In this paper, the first and second generation aerial image mask inspection tools of Applied Materials were adopted for all of the following applications: Advanced front end reticle qualification, low and high transmission PSM masks, and fabrication plates from 65nm to 45nm technology nodes for DRAM and flash products.
Abstract: Aerial image mask inspection tools are effective in qualifying masks based upon printability assessments using scanner-based actinic (193nm) illumination conditions. Aerial imaging inspection application is relevant for masks that are at final process steps or resemble a completed mask. However, maskshops perform inspections at additional, intermediary, mask manufacturing stages, as well as on masks designed for 248nm scanners. In both of these cases, aerial image inspection using scanner-based actinic (193nm) illumination conditions was not considered relevant. This paper demonstrates that aerial imaging inspection tools can be easily configured to perform inspections using various non-aerial illumination modes, owing to their inherently flexible optical design. Aerial image mask inspection tools, running in these optical modes, are effective for detecting defects at various stages of the mask manufacturing process and even for inspecting 248nm masks. Accordingly, MP Mask has adopted the first and second generation aerial imaging mask inspection tools of Applied Materials for all of the following applications: Advanced front end reticle qualification, low and high transmission PSM masks, and immersion and non-immersion plates from 65nm to 45nm technology nodes for DRAM and flash products. A simple cost of ownership comparison of aerial image mask inspection tools with traditional inspection methodology indicates that these inspection tools are well-qualified for use on a wide spectrum of masks and process points. This paper presents qualification procedures and results obtained with this new tool based on a set of masks representing several exposure wavelengths, mask types, technology nodes, product families, and inspection points.

Journal ArticleDOI
TL;DR: In this article, the authors describe the recent topics and prospect for extreme ultraviolet (EUV) lithographic technology are described of the exposure tools, EUV source, mask inspection, and resist development.
Abstract: Most recent topics of EUVL are that AMD demonstrated first functional device using ADT in Albany to integrate SRAM cells in the full chip cell of Typhoon, and IMEC demonstrated functional 0.186 μm2 32 nm node SRAM cells with extreme ultraviolet lithography (EUVL) on the contact-hole level. The recent topics and prospect for extreme ultraviolet (EUV) lithographic technology are described of the exposure tools, EUV source, mask inspection, and resist development. EUVL source technology has been advanced in these three years to increase the power and to extend the beam stability. For the mask, defect inspection system development was advanced by a several institute to detect the defect to find the threshold condition of printable and non-printable. As for a resist, the resolution and sensitivity were refined to become close to satisfy the required specification for 32-nm node. However LWR has not been satisfied the specification yet. Furthermore, since EUVL will be pushed for 22-nm node and it should be use until 11 nm node in the ITRS, EUVL technology has to be ready around 2012 to transfer to the pilot line. For 22-nm node, the resist has not been satisfied yet. Thus it needs large steps to develop the resist material and process for 22-nm node and below down to 16-nm node in EUVL.

Proceedings ArticleDOI
09 Apr 2008
TL;DR: In this paper, a rapid mask fabrication technology based on micro-stereolithography technology has been developed for the micro-abrasive jet machining (mu-AJM) has become a useful technique for micro-machining of a brittle material such as glass, silicon, etc.
Abstract: Micro-abrasive jet machining (mu-AJM) has become a useful technique for micro-machining of a brittle material such as glass, silicon, etc. This technology is mainly based on the erosion of a mask which protects substrate against high velocity of micro-particles. Generally, for the fabrication of a mask in the mu-AJM process, a photomask based on the semi-conductor fabrication process was used. In this research, a rapid mask fabrication technology based on micro-stereolithography technology has been developed for the mu-AJM. By scanning the focused UV laser beam, a micro-mask pattern was fabricated directly without photolithography process and photomask. Si wafer were abrasive-jet machined using fabricated mask patterns.

Proceedings ArticleDOI
17 Oct 2008
TL;DR: In this paper, a combination of a SEM defect review tool and defect disposition and simulation software is proposed, which uses high-resolution SEM images of defects to do defect review, defect disposition, and wafer printing simulation of defects automatically or manually.
Abstract: Mask defect disposition gets more difficult and time-consuming with each progressive lithography node. Mask inspection tools commonly use 250 nm wavelength, giving resolution of 180 nm, so critical defect sizes are far less than the optical resolution - too small for defect analysis. Thus the rate of false or nuisance defect detection is increasing rapidly and analysis of detected defects is increasingly difficult. As to judging the wafer printability of defects, AIMS (Aerial Image Measurement System) tools are commonly used but are also time-consuming if defect count is high. For improving the efficiency of mask defect disposition, we propose the combination of a SEM defect review tool and defect disposition and simulation software, which use high-resolution SEM images of defects to do defect review, defect disposition, and wafer printing simulation of defects automatically or manually. The SEM defect review tool, DIS-05 developed by Holon Co. Ltd., is designed for defect review and disposition using reference images derived from e-beam files or CAD database. This tool uses the Automated Defect Analysis Software (ADAS) developed from AVI LLC. to interface the inspection tool and the DIS-05. ADAS detects false defects before SEM imaging and performs aerial image simulation from the SEM and CAD images to estimate the wafer CD error caused by each defect. We report on its speed (>300 defects/hour), classification accuracy and simulation accuracy when used with masks at the 45 nm technology node and beyond. This combination of SEM and ADAS is expected to significantly accelerate process development and production for the 45 and 32 nm nodes. It will also increase the masksper- day throughput of inspection and AIMS tools by shifting most defect review to ADAS software using SEM images. At preliminary tests showed the combination tool can do auto defect disposition and simulation with promising results.