scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2010"


Proceedings ArticleDOI
29 Sep 2010
TL;DR: A combination of blank inspection, patterned mask inspection (PMI), and wafer inspection was used to find as many as possible printing defects on two different EUV reticles.
Abstract: A combination of blank inspection (BI), patterned mask inspection (PMI) and wafer inspection (WI) is used to find as many as possible printing defects on two different EUV reticles These multiple inspections result in a total population of known printing defects on each reticle The printability of these defects is first confirmed by wafer review on wafers exposed on the full field ASML Alpha Demo Tool (ADT) at IMEC Subsequently reticle review is performed on the corresponding locations with both SEM (Secondary Electron Microscope) and AFM (Atomic Force Microscope) This review methodology allows to separate absorber related mask defects and multi layer (ML) related mask defects In this investigation the focus is on ML defects, because this type of reticle defects is EUV specific, and not as evolutionary as absorber defects which can be mitigated in more conventional ways This work gives evidence of critical printing ML defects of natural origin, both pits as shallow as 3nm and bumps just 3nm high at the surface Wafer inspection was the first inspection technique to detect these ML-defects with marginal surface height distortion, because both state-of-the-art PMI and especially standard BI on the Lasertec M1350 had failed to detect these defects Compared to standard BI, the more advanced Lasertec M7360 is found to have much better sensitivity for printing MLdefects and our work so far shows no evidence of printing ML defects missed by this tool Unfortunately it was also observed that this required sensitivity was only achieved at the cost of an unacceptable nuisance rate, ie, with a too high number of detections of non-printing defects Optical blank inspection is facing major challenges : It needs not only to find ML defects with height distortions of 3nm and less (and in theory maybe even 0nm), but also it must be able to disposition between such likely-printing and non-printing defects

34 citations


Proceedings ArticleDOI
30 Sep 2010
TL;DR: In this paper, a new electron beam inspection system, named EBeyeM, which features high speed and high resolution inspection for EUV mask was developed, and the performance of this system was evaluated.
Abstract: We are developing new electron beam inspection system, named EBeyeM, which features high speed and high resolution inspection for EUV mask. Because EBeyeM has the projection electron microscope technique, the scan time of EBeyeM is much faster than that of conventional SEM inspection system. We developed prototype of EBeyeM. The aim of prototype system is to prove the concept of EBeyeM and to estimate the specification of system for 2Xnm and 1Xnm EUV mask. In this paper, we describe outline of EBeyeM and performance results of the prototype system. This system has two inspection mode. One is particle inspection and the other is pattern defect inspection. As to the sensitivity of EBeyeM prototype system, the development target is 30nm for the particle inspection mode and 50nm for pattern defect inspection mode. The performance of this system was evaluated. We confirmed the particle inspection mode of the prototype system could detect 30nm PSL(Polystyrene Latex) and the sensitivity was much higher than conventional optical blank inspection system. And we confirmed that the pattern defect sensitivity of the prototype system was around 45nm. It was recognized that both particle inspection mode and pattern defect inspection mode met the development target. It was estimated by the performance results of the prototype system that the specification of EBeyeM would be able to achieve for 2Xnm EUV mask. As to 1Xnm EUV mask, we are considering tool concept to meet the specification.

27 citations


Proceedings ArticleDOI
29 Sep 2010
TL;DR: Wang et al. as discussed by the authors developed a mask inspection system using 199nm wavelength with simultaneous transmitted and reflected illumination optics, which utilize p-polarized and spolarised illumination for high defect detection and sensitivity.
Abstract: EUV lithography is expected to be not only for hp 2Xnm node device production method but also for hp 1X nm node. We have already developed the mask inspection system using 199nm wavelength with simultaneous transmitted and reflected illumination optics, which utilize p-polarized and s-polarized illumination for high defect detection sensitivity, and we developed a new image contrast enhancement method which changes the digitizing rate of imaging sensor depending on the signal level. Also, we evaluate the mask structure which improve the image contrast and defect detection sensitivity. EUVL-mask has different configuration from transmitted type optical-mask. A captured image simulator has been developed to study the polarized illumination performance theoretically of our inspection system. Preferable mask structure for defect detection and possibility of miss defect detection are considered.

26 citations


Journal Article
TL;DR: In this paper, Mochi et al. used the SEMA TECH Berkeley Actinic Inspection Tool (AIT), an actinic microscope, to image and characterize defects on a full-field extreme ultraviolet mask.
Abstract: Actinic imaging of native and programmed defects on a full-field mask 1. Mochi*a, K. A. Goldberg a, B. La Fontaine b , A. Tchikoulaeva b , C. Holfeld c aLawrence Berkeley National Laboratory, One Cyclotron Road, Berkeley, CA, 94720, USA. bGlobal Foundries, 1050 Arques Avenue, Sunnyvale, CA, 94085, USA cGlobal Foundries Dresden Module One. KG Wilschdorfer Landstr. 101,0 1109 Dresden, Germany. ABSTRACT We describe the imaging and characterization of native defects on a full field extreme ultraviolet (EUV) mask, using several reticle and wafer inspection modes. Mask defect images recorded with the SEMA TECH Berkeley Actinic Inspection Tool (AIT), an EUV-wavelength (13.4 nm) actinic microscope, are compared with mask and printed-wafer images collected with scanning electron microscopy (SEM) and deep ultraviolet (DUV) inspection tools. We observed that defects that appear to be opaque in the SEM can be highly transparent to EUV light, and inversely, defects that are mostly transparent to the SEM can be highly opaque to EUV. The nature and composition of these defects, whether they appear on the top surface, within the multilayer coating, or on the substrate as buried bumps or pits, influences both their significance when printed, and their detectability with the available techniques. Actinic inspection quantitatively predicts the characteristics of printed defect images in ways that may not be possible with non- EUV techniques. As a quantitative example, we investigate the main structural characteristics of a buried pit defect based on EUV through- focus imaging. Keywords: Mask inspection, defects, extreme ultraviolet, EUV, actinic, lithography, microscopy 1. INTRODUCTION Mask defectivity is one of the main issues for advanced lithography in any future technology node. This is especially true for EUV lithography where the mask, the absorber pattern, and the various types of defects can have wavelength- specific optical properties. Developing reliable and accurate methods for defect inspection and imaging is an essential step towards the deployment ofEUV lithography. While DUV microscopy and SEM provide valuable information at high resolutions, their sensitivity to defects can be remarkably different from EUV-wavelength imaging, and they cannot guarantee measurements that predict EUV printing performance. Our research shows that some defects that are strongly evident in an SEM will not actually print, while others, which are faintly detected with SEM or DUV inspection, can clearly appear on the wafer. Aside from printing in photoresist, EUV aerial imaging is the only technique that provides quantitative information on the interaction between the EUV light and the blank or patterned mask surface. Following exposure in the ASML Alpha Demo Tool (ADT) at CNSE in Albany [I], New York, the reticle and its printed wafers were inspected using SEM and two KLA tools: the 2800 Series broadband and brightfield DUV/uVIVIS inspection platform and the TeraScanHR 587 reticle defect inspection system [2]. A classification system presented previously [3] separated the defects into the following categories: cleaning residue, particle, pattern defect, blank defects, and nuisance defects. In this paper we describe the imaging of native defects on a EUV mask from Global Foundries, carried out using the AIT [4], an EUV Fresnel zoneplate microscope dedicated to photomask research [5]. EUV imaging, performed with the AlT, adds new information about the optical properties of these reticle defects. Our goal was to investigate the relationship between the appearance of various defects in the different defect-imaging tools. Our observations show that it is difficult to predict the EUV response from the SEM or DUV appearance. By studying the through focus evolution of a defect's aerial image, with quantitative comparison to simulated defect images, it is possible to investigate physical characteristics (such as the apparent defect height and three-dimensional

25 citations


Proceedings ArticleDOI
TL;DR: In this paper, the authors describe the imaging and characterization of native defects on a full field extreme ultraviolet (EUV) mask, using several reticle and wafer inspection modes.
Abstract: We describe the imaging and characterization of native defects on a full field extreme ultraviolet (EUV) mask, using several reticle and wafer inspection modes. Mask defect images recorded with the SEMATECH Berkeley Actinic Inspection Tool (AIT), an EUV-wavelength (13.4 nm) actinic microscope, are compared with mask and printed-wafer images collected with scanning electron microscopy (SEM) and deep ultraviolet (DUV) inspection tools. We observed that defects that appear to be opaque in the SEM can be highly transparent to EUV light, and inversely, defects that are mostly transparent to the SEM can be highly opaque to EUV. The nature and composition of these defects, whether they appear on the top surface, within the multilayer coating, or on the substrate as buried bumps or pits, influences both their significance when printed, and their detectability with the available techniques. Actinic inspection quantitatively predicts the characteristics of printed defect images in ways that may not be possible with non-EUV techniques.

24 citations


Journal Article
TL;DR: In this article, a full-field EL'V mask is fabricated to investigate the printability of various defects on the mask and the definition of defects induced from the mask blank is disussed.
Abstract: A study of defects on EUV masks using blank inspection, patterned mask inspection, and wafer inspection Sungmin Huh!, Liping Ren!, David Chan!, Stefan Wunn!, Kenneth Goldberg2, Iacopo Mochi2, Toshio Nakajima 3 , Masahiro Kishimot0 , h 4 Byungsup An, Inyong Kang 4 , Joo-on Par k4 ,Kyoungyong Co, h 4 Sang-in Hans, Thomas Laursen ! SEMATECH 255 Fuller Road, Suite 309, Albany, NY 12203 USA 2 2-400, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 3 AGC Electronics America, 257 Fuller Road, Albany NY 12203 Samsung Electronics Co., San#16 Banwol-Dong, Hwasung-City, Gyeonggi-Do, Korea, 445-701 5 ASML 25 Corporate Circle, Albany, NY 12203 ABSTRACT The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. yet link data is availahle for understanding native defects on real masks. In this papeL a full-field EL'V mask is fabricated to investigate the printability \)fvarious defects on the mask. The printability of del~cts and idenlification of their source from mask fabricationlu handling were studied lIsing wafer inspc '\ 2:1'>-;'\ i at C'\.SE. Repeater anal: ses \)f w

22 citations


Patent
05 Feb 2010
TL;DR: In this article, a target mask pattern is used to expand an initial region in a photo-mask that is included in an initial mask-inspection image, and then a corresponding simulated mask pattern was calculated in an inverse optical calculation using the revised mask-inspection image and an optical model of the mask inspection system.
Abstract: A technique for determining photo-mask defect disposition is described. In this technique, a target mask pattern is used to expand an initial region in a photo-mask that is included in an initial mask-inspection image. In particular, a revised mask-inspection image that includes the initial region and a region surrounding the initial region is generated based on the initial mask-inspection image and the target mask pattern. Then a corresponding simulated mask pattern is calculated in an inverse optical calculation using the revised mask-inspection image and an optical model of the mask-inspection system. This simulated mask pattern is used to simulate a wafer pattern in a photo-lithographic process, and disposition of a possible defect in the initial region is subsequently determined based on the simulated wafer pattern and a target wafer pattern.

21 citations


Proceedings ArticleDOI
TL;DR: In this paper, the authors studied the use of mask defect control using wafer inspection as an alternative solution to mask inspection for detecting phase defects on the mask and proposed a defect detection methodology involving mask, mask inspection, wafer print and wafer inspections.
Abstract: EUVL is the strongest candidate for a sub-20nm lithography solution after immersion double-patterning. There are still critical challenges for EUVL to address to become a mature technology like today's litho workhorse, ArF immersion. Source power and stability, resist resolution and LWR (Line Width Roughness), mask defect control and infrastructure are listed as top issues. Source power has shown reasonably good progress during the last two years. Resist resolution was proven to resolve 32nm HP (Half Pitch) lines and spaces with good process windows even though there are still concerns with LWR. However, the defectivity level of blank masks is still three orders of magnitude higher than the requirement as of today. In this paper, mask defect control using wafer inspection is studied as an alternative solution to mask inspection for detection of phase defects on the mask. A previous study suggested that EUVL requires better defect inspection sensitivity than optical lithography because EUVL will print smaller defects. Improving the defect detection capability involves not only inspection system but also wafer preparation. A few parameters on the wafer, including LWR and wafer stack material and thickness are investigated, with a goal of enhancing the defect capture rate for after development inspection (ADI) and after cleaning inspection (ACI). In addition to defect sensitivity an overall defect control methodology will be suggested, involving mask, mask inspection, wafer print and wafer inspection.

20 citations


Proceedings ArticleDOI
03 Feb 2010
TL;DR: In this article, two EUV mask blanks with known native buried phase defects were characterized with a Lasertec M7360 (266 nm wavelength), atomic force microscope (AFM), and SEMATECH's actinic inspection tool (AIT), which is an EUV-wavelength microscope.
Abstract: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In this paper, two EUV mask blanks with known native buried phase defects were characterized with a Lasertec M7360 (266 nm wavelength), atomic force microscope (AFM), and SEMATECH's actinic inspection tool (AIT), which is an EUV-wavelength microscope. The results show that there are various kinds of native defects on the mask blank. Not surprisingly, the surface height and measured EUV intensity profile of real blank defects can differ significantly from Gaussian-shaped defects. All defects found by the M7360 were observable in the AIT, yet many do not perturb the intensity enough to be printable in isolation. This paper shows that defects come in various sizes and types and clarifies what must be done to learn more about real defect printability to achieve defect-free mask blanks.

18 citations


Journal ArticleDOI
TL;DR: In this article, the authors survey the recent history of work in this area, including sixteen projects in Europe, Asia, and America, ranging from basic research and demonstration experiments to commercial inspection tool prototypes.
Abstract: Mask inspection is essential for the success of any pattern transfer lithography technology, and extreme ultraviolet lithography (EUVL), in particular, faces unique challenges. EUV masks’ resonant-reflective multilayer coatings have a narrow, wavelength-specific response that dramatically affects the way that defects appear, or disappear, at various illuminating wavelengths. Furthermore, the ever-shrinking size of “critical” defects limits the potential effectiveness of deep ultraviolet inspection techniques over time. Researchers pursuing numerous ways of finding and characterizing defects on extreme ultraviolet (EUV) masks and have met with varying degrees of success. Their lessons inform the current, urgent exploration to select the most effective techniques for high-volume manufacturing. Ranging from basic research and demonstration experiments to commercial inspection tool prototypes, the authors survey the recent history of work in this area, including sixteen projects in Europe, Asia, and America. ...

18 citations


Journal ArticleDOI
TL;DR: In this article, the extreme ultraviolet microscope (EUVM) was developed for an actinic mask inspection of a EUV finished mask and a blank mask and phase defects on a glass substrate.
Abstract: The extreme ultraviolet microscope (EUVM) has been developed for an actinic mask inspection of a EUV finished mask and a EUV blank mask. Using this microscope, amplitude defects on a finished mask and phase defects on a glass substrate are observed. However, it has a problem of low contrast, which originates from 1) thermal noise of a charge coupled device (CCD) camera, 2) wave aberrations of an optical component, and 3) a nonuniform illumination intensity. To resolve these issues, EUVM was improved. 1) To reduce a thermal noise, a cooled CCD camera is installed. 2) To remove wave aberrations of a back-end turning mirror, a Mo/Si multiplayer-coated thick glass substrate with a high surface accuracy is employed instead of a Si wafer substrate. Furthermore, in situ alignment was carried out to remove wavefront aberrations for a Schwarzschild imaging optics. In addition, 3) by installing a scanning system on the front-end turning mirror, a highly uniform illumination intensity was achieved. As a result, images of less than 100 nm without astigmatism were obtained.

Proceedings ArticleDOI
29 Sep 2010
TL;DR: Lipton et al. as mentioned in this paper proposed a technique called Lithographic Plane Review (LPR) to reconstruct the defective mask from its inspection image, and then perform simulated AIMS evaluation on the reconstructed mask.
Abstract: As optical lithography continues to extend into low-k1 regime, resolution of mask patterns under mask inspection optical conditions continues to diminish. Furthermore, as mask complexity and MEEF has also increased, it requires detecting even smaller defects in the already narrower pitch mask patterns. This leaves the mask inspection engineer with the option to either purchase a higher resolution mask inspection tool or increase the detector sensitivity on the existing inspection system or maybe even both. In order to meet defect sensitivity requirements in critical features of sub-32nm node designs, increasing sensitivity typically results in increased nuisance (i.e., small sub-specification) defect detection by 5-20X defects making post-inspection defect review non-manufacturable. As a solution for automatically dispositioning the increased number of nuisance and real defects detected at higher inspection sensitivity, Luminescent has successfully extended Inverse Lithography Technology (ILT) and its patented level-set methods to reconstruct the defective mask from its inspection image, and then perform simulated AIMS dispositioning on the reconstructed mask. In this technique, named Lithographic Plane Review (LPR), inspection transmitted and reflected light images of the test (i.e. defect) and reference (i.e., corresponding defect-free) regions are provided to the "inversion" engine which then computes the corresponding test and reference mask patterns. An essential input to this engine is a well calibrated model incorporating inspection tool optics, mask processing and 3D effects, and also the subsequent AIMS tool optics to be able to then simulate the aerial image impact of the defects. This flow is equivalent to doing an actual AIMS tool measurement of every defect detected during mask inspection, while at the same time maintaining inspection at high enough resolution. What makes this product usable in mask volume production is the high degree of accuracy of mask defect reconstruction, predicting actual AIMS measurements to within ±4% CD error for > 95% of defects while not missing any OOS (out-of-specification) defect and maintaining high simulation throughput of ≥250 defects/min on Luminescent's distributed computing platform. This technique enables inspection recipes to be setup based on the sensitivity required to detect small but lithographically-significant defects, even if in the process a large number of nuisance defects are detected. LPR is being implemented as an integral part of defect classification for high-volume sub-32nm technology nodes and higher. Furthermore, this technique will be essential to the lithographic disposition of defects detected on EUV masks inspected under non-actinic conditions.

12 Feb 2010
TL;DR: The SEMATECH Berkeley Actinic Inspection Tool (AIT) as mentioned in this paper reaches LWR 3σ values close to 9nm for 175nm half-pitch lines, which is below 10% linewidth for nearly all lines.
Abstract: As the quality of EUV-wavelength mask inspection microscopes improves over time, the image properties and intensity profiles of reflected light can be evaluated in ever-greater detail. The SEMATECH Berkeley Actinic Inspection Tool (AIT) is one such microscope, featuring mask resolution values that match or exceed those available through lithographic printing in current photoresists. In order to evaluate the defect detection sensitivity of the AIT for dense line patterns on typical masks, the authors study the linewidth roughness (LWR) on two masks, as measured in the EUV images. They report the through-focus and pitch dependence of contrast, image log slope, linewidth, and LWR. The AIT currently reaches LWR 3σ values close to 9nm for 175nm half-pitch lines. This value is below 10% linewidth for nearly all lines routinely measured in the AIT. Evidence suggests that this lower level may arise from the mask’s inherent pattern roughness. While the sensitivity limit of the AIT has not yet been established, it ...

Patent
28 Aug 2010
TL;DR: In this article, the diaphragm is embodied in such a way that the resultant intensity distribution of the projection light has at least one further intensity value between a minimum and a maximum intensity value.
Abstract: During mask inspection it is necessary to identify defects which also occur during wafer exposure. Therefore, the aerial images generated in the resist and on the detector have to be as far as possible identical. In order to achieve an equivalent image generation, during mask inspection the illumination and, on the object side, the numerical aperture are adapted to the scanner used. The invention relates to a mask inspection microscope for variably setting the illumination. It serves for generating an image of the structure (150) of a reticle (145) arranged in an object plane in a field plane of the mask inspection microscope. It comprises a light source (5) that emits projection light, at least one illumination beam path (3, 87, 88), and a diaphragm for generating a resultant intensity distribution of the projection light in a pupil plane (135) of the illumination beam path (3, 87, 88) that is optically conjugate with respect to the object plane. According to the invention, the diaphragm is embodied in such a way that the resultant intensity distribution of the projection light has at least one further intensity value between a minimum and a maximum intensity value.

Patent
24 Aug 2010
TL;DR: In this article, a system and a method are provided for emulating a photolithographic process for generating on a wafer an overall structure that is divided into at least two substructures on at least 2 masks.
Abstract: In mask inspection, the defects that are of interest are primarily those that will also show up on wafer exposure. The aerial images generated in the resist and by emulation should be as identical as possible. This also applies to methods in which an overall structure that is divided into at least two substructures on at least two masks. A system and a method are provided for emulating a photolithographic process for generating on a wafer an overall structure that is divided into at least two substructures on at least two masks. The method includes generating aerial images of the at least two substructures, at least one of the aerial images being captured with a mask inspection microscope; correcting, by using a processing unit, errors in the at least one aerial image captured with a mask inspection microscope; and overlaying the aerial images of the at least two substructures to form an overall aerial image with the overall structure.

Proceedings ArticleDOI
TL;DR: In this paper, the authors proposed a mask inspection system using 199nm wavelength with simultaneous transmitted illumination and reflected illumination optics, and is an effectual candidate for hp 32nm node mask inspection.
Abstract: Lithography potential expands for 45nm node to 32nm device production by the development of immersion technology and the introduction of phase shift mask. We have already developed the mask inspection system using 199nm wavelength with simultaneous transmitted illumination and reflected illumination optics, and is an effectual candidate for hp 32nm node mask inspection. Also, it has high defect sensitivity because of its high optical resolution, so as to be utilized for leading edge mask to next generation lithography. EUV lithography with 13.5nm exposure wavelength is dominant candidate for the next generation lithography because of its excellent resolution for 2x half pitch (hp) node device. But, applying 199nm optics to complicated lithography exposure tool option for hp2x nm node and beyond, further development such as image contrast enhancement will be needed. EUVL-mask has different configuration from transmitted type optical-mask. It is utilized for reflected illumination type exposure tool. Its membrane structure has reverse contrast compared with optical-mask. This nature leads image profile difference from optical-mask. A feasibility study was conducted for EUV mask pattern defect inspection using DUV illumination optics with two TDI (Time Delay Integration) sensors. To optimize the inspection system configuration, newly developed Nonlinear Image Contrast Enhancement method (NICE) is presented. This function capability greatly enhances inspectability of EUVL mask.

Proceedings ArticleDOI
29 Sep 2010
TL;DR: In this paper, the authors evaluate the printability of multilayer defects and of absorber defects exposed by a full-field scanner and a 199nm wavelength patterned mask inspection tool.
Abstract: The key challenge before EUVL is to make defect-free masks hence it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on wafer printing. In this paper, we evaluate the printability of multilayer defects and of absorber defects exposed by a full-field scanner EUV1, using full-field actinic/non-actinic blank inspection tool and 199nm wavelength patterned mask inspection tool. And based on the results of native defect analysis of blank/mask, we ascertain that blank inspection with actinic is necessary for mask fabrication in order to reduce the risk of missing phase defects, which hardly can be detected by patterned mask inspection tool.

Patent
18 Mar 2010
TL;DR: In this paper, a mask inspection system with Fourier filtering and image compare can include a first detector, a dynamic Fourier filter, a controller, and a second detector, located at a Fourier plane of the inspection system and can detect a first portion of patterned light produced by an area of a mask.
Abstract: A mask inspection system with Fourier filtering and image compare can include a first detector, a dynamic Fourier filter, a controller, and a second detector. The first detector can be located at a Fourier plane of the inspection system and can detect a first portion of patterned light produced by an area of a mask. The dynamic Fourier filter can be controlled by the controller based on the detected first portion of the patterned light. The second detector can detect a second portion of the patterned light produced by the section of the mask and transmitted through the dynamic Fourier filter. Further, the mask inspection system can include a data analysis device to compare the second portion of patterned light with another patterned light. Consequently, the mask inspection system is able to detect any possible defects on the area of the mask more accurately and with higher resolution.

Proceedings ArticleDOI
TL;DR: In this article, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask, and the printable blank defect density excluding particles and patterns is 0.63/cm 2.
Abstract: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In this paper, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask. The printability of defects and identification of their source from mask fabrication to handling were studied using wafer inspection. The printable blank defect density excluding particles and patterns is 0.63/cm 2 . Mask inspection is shown to have better sensitivity than wafer inspection. The sensitivity of wafer inspection must be improved using through-focus analysis and a different wafer stack.

Proceedings ArticleDOI
Shmoolik Mangan1, Aya Kantor1, Nir Shoshani1, Asaf Jaffe1, Dror Kasimov1 
TL;DR: DUV-based patterned mask inspection tool can meet the requirements of the pre-production EUV phase, at 32nm half-pitch, and has adequate room to extend to production at the 22nm node.
Abstract: The semiconductor industry recently concluded that EUV lithography is the most promising candidate to replace ArF for the 22nm half-pitch node and beyond. Significant progress was made in EUV scanner and source technology and EUV resists have achieved acceptable performance levels as well. But issues related to EUV mask inspection and defectivity remain for the most part unanswered. This gap positions EUV masks as the leading risk to the entire technology, and requires a robust solution during the introduction phase of EUVL. In this paper we present results from a EUV mask inspection system. We demonstrate optimal pattern image formation by using illumination shaping, and consider detection of various defect types that represent realistic mask defectivity scenarios. These results demonstrate that DUV-based patterned mask inspection tool can meet the requirements of the pre-production EUV phase, at 32nm half-pitch, and has adequate room to extend to production at the 22nm node.

Proceedings ArticleDOI
TL;DR: The latest in aerial imaging technologies of Applied Material's Aera2TM mask inspection tool are demonstrated, which opens the door to a wide variety of metrological measurements analysis at aerial level and provides enabling solutions for mask and scanner qualifications.
Abstract: As the semiconductor industry moves to 3X technology nodes and below, holistic lithography source mask optimization (SMO) methodology targets an increase in the overall litho performance with improved process windows. The typical complexity of both mask and illumination source exceeds what the lithographic industry has been accustomed to, and presents a novel challenge to mask qualification and metrology. In this paper we demonstrate the latest in aerial imaging technologies of Applied Material's Aera2TM mask inspection tool. The aerial imaging capability opens the door to a wide variety of metrological measurements analysis at aerial level and provides enabling solutions for mask and scanner qualifications. In particular, we demonstrate core and periphery DRAM pattern process window assessment and MEEF measurements, performed on an advanced test mask.

Proceedings ArticleDOI
25 May 2010
TL;DR: In this paper, the influence of EUV absorber design for 193nm optical contrast and defect sensitivity is identified for absorber designs of current interest and illustrated inspection technology extendibility through simulation of 193nm-based inspection of advanced EUV patterned masks.
Abstract: Reticle quality and the capability to qualify a reticle are key issues for EUV Lithography. We expect current and planned optical inspection systems will provide inspection capability adequate for development and production of 2X HP masks. We illustrate inspection technology extendibility through simulation of 193nm-based inspection of advanced EUV patterned masks. The influence of EUV absorber design for 193nm optical contrast and defect sensitivity will be identified for absorber designs of current interest.

Proceedings ArticleDOI
30 Sep 2010
TL;DR: In this paper, the authors present and discuss the applications of computational Lithography and Inspection (CLI) in mask inspection, metrology, review, and repair, and provide additional information to assist the operator in making accurate and efficient decisions on defect disposition.
Abstract: At the most advanced technology nodes, such as 32nm, 22nm, and beyond, aggressive OPC and Sub-Resolution Assist Features (SRAFs) on the mask are essential for accurate on-wafer imaging; mask patterns generated by Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) may also be necessary for production. However, their use results in significantly increased mask complexity, making mask defect disposition more challenging than ever. Computational Lithography and Inspection (CLI) have broad applications in mask inspection, metrology, review, and repair, and provide additional information to assist the operator in making accurate and efficient decisions on defect disposition. In this paper these applications of CLI in mask inspection, off-line review, metrology, and repair are presented and discussed.

Patent
21 Sep 2010
TL;DR: In this paper, an apparatus and method for electrical mask inspection is described, in which a scan chain is formed between two metal layers and a via layer, one of the three layers is a functional layer under test, and the other two layers are test layers.
Abstract: An apparatus and method for electrical mask inspection is disclosed. A scan chain is formed amongst two metal layers and a via layer. One of the three layers is a functional layer under test, and the other two layers are test layers. A resistance measurement of the scan chain is used to determine if a potential defect exists within one of the vias or metal segments comprising the scan chain.

Patent
16 Aug 2010
TL;DR: In this article, a defect inspection pattern area of predetermined dimensions containing the coordinates of the defect is determined, and the data of these clusters or cells are extracted from design pattern data read from a first magnetic disk unit.
Abstract: An inspection system determines, for each detected pattern defect, a defect inspection pattern area of predetermined dimensions containing the coordinates of the defect, then determines the clusters or cells whose reference points are located within the defect inspection pattern area. The system extracts the data of these clusters or cells from design pattern data read from a first magnetic disk unit. The system then generates an output file containing the extracted data. The output file is then converted into the same format as the input design pattern data or into OASIS format, before it is output to a second magnetic disk unit. The extracted pattern data specifying the clusters or cells within each defect inspection pattern area can be output from the mask inspection system to external systems.

Proceedings ArticleDOI
TL;DR: In this article, a light source of 198nm with more than 100 mW was used for photomask inspection in semiconductor applications such as photomasks inspection, which requires the UV lightning wavelength beyond 200 nm.
Abstract: Highly reliable DUV light sources are required for semiconductor applications such as a photomask inspection. The mask inspection for the advanced devices requires the UV lightning wavelength beyond 200 nm. By use of dual fiber lasers as fundamental light sources and the multi-wavelength conversion we have constructed a light source of 198nm with more than 100 mW. The first laser is Yb doped fiber laser with the wavelength of 1064 nm; the second is Er doped fiber laser with 1560 nm. To obtain the robustness and to simplify the configuration, the fundamental lights are run in the pulsed operation and all wavelength conversions are made in single-pass scheme. The PRFs of more than 2 MHz are chosen as an alternative of a CW light source; such a high PRF light is equivalent to CW light for inspection cameras. The light source is operated described as follows. Automatic weekly maintenance within an hour is done if it is required; automatic monthly maintenance within 4 hours is done on fixed date per month; manufacturer's maintenance is done every 6 month. Now this 198 nm light sources are equipped in the leading edge photomask inspection machines.

Proceedings ArticleDOI
27 May 2010
TL;DR: In this article, an evaluation of a DUV mask inspection system and e-beam mask inspection technology on EUV masks is presented, and the advantages and roadmap of DUV and EBI mask inspection solutions are discussed.
Abstract: EUV lithography is regarded as the leading technology solution for the post-ArF era. Significant progress was made in recent years in closing the gaps related to scanner technology. This progress rendered EUV mask defectivity and related infrastructure as the primary risk for EUV lithography. The smallness of mask features, the novel defectivity mechanisms associated with the multilayer reflecting coating, and the stringent constraints on both multilayer and pattern imposed by the EUV wavelength - present a major challenge to current inspection technology, which constitutes a predominant gap to EUVL production-worthiness. Here we present results from an evaluation of a DUV mask inspection system and e-beam mask inspection technology on EUV masks. On this 193nm DUV system, we studied sensitivity and contrast enhancements by resolution enhancement techniques. We studied both pattern and blank inspection. Next, we studied image formation and performance of e-beam mask inspection technology for patterned mask defects. We discuss the advantages and roadmap of DUV and EBI mask inspection solutions for blank and patterned masks.

Proceedings ArticleDOI
TL;DR: New mask inspection technologies have been developed that not only provide high resolution masks imaged at the same wavelength as the scanner, but that also provide aerial images by using both: software simulation and hardware emulation.
Abstract: Traditional patterned mask inspection has been off-wavelength. For the better part of the past 25years mask inspection systems never adhered to the wavelength of the exposure tools. While in the days of contact and proximity printing this was not a major issue, with the arrival of steppers and scanners and the slow migration from 436nm, 405nm, 365nm and 248nm to ultimately 193nm, on-wavelength inspection has become a necessity. At first there was the option with defect and printline review using an at-wavelength AIMS tool [Fig 1], but now the industry has moved towards Patterned Mask Inspection to be at-wavelength too. With ever decreasing wavelength, more and more materials have become opaque, and especially the 266/257nm inspection to 193nm printing wavelength has proven to be a reliability issue. The industry took a major step forward with the adoption of at-wavelength aerial inspection, a paradigm shift in mask inspection, as it uses a hardware emulation to parallel the scanner's true illumination settings [Fig 2]. The technology has found wide-spread acceptance by now, and 19xnm inspection is now the industry standard.

Dissertation
01 Jan 2010
TL;DR: In this paper, the use of on-mask probable electrical test structures and measurement techniques to accurately characterise the imaging capabilities of advanced binary and phase-shifting chrome-on-quartz photomasks was examined.
Abstract: Existing photomask metrology is struggling to keep pace with the rapid reduction of IC dimensions as traditional measurement techniques are being stretched to their limits. This thesis examines the use of on-mask probable electrical test structures and measurement techniques to meet this challenge and to accurately characterise the imaging capabilities of advanced binary and phase-shifting chrome-on-quartz photomasks. On-mask, electrical and optical linewidth measurement techniques have highlighted that the use of more than one measurement method, complementing each other, can prove valuable when characterising an advanced photomask process. Industry standard optical metrology test patterns have been adapted for the direct electrical equivalent measurement and the structures used to characterise different feature arrangements fabricated on standard and advanced photomasks with proximity correction techniques. The electrical measurements were compared to measurements from an optical mask metrology and verification tool and a state-of-the-art CD-AFM system and the results have demonstrated the capability and strengths of the on-mask electrical measurement. For example, electrical and AFM measurements on submicron features agreed within 10nm of each other while optical measurements were offset by up to 90nm. Hence, electrical techniques can prove valuable in providing feedback to the large number of metrology tools already supporting photomask manufacture, which in turn will help to develop CD standards for maskmaking. Electrical test structures have also been designed to enable the characterisation of optical proximity correction to characterise right angled corners in conducting tracks using a prototype design for both on-mask and wafer characterisation. Measurement results from the on-mask structures have shown that the electrical technique is sensitive enough to detect the effect of OPC on inner corners and to identify any defects in the fabricated features. For example less than 10Ω (5%) change in the expected resistance data trends indicated a deformed OPC feature. Results from on-wafer structures have shown that the correction technique has an impact on the final printed features and the measured resistance can be used to characterise the effects of different levels of correction. Overall the structures have shown their capability to characterise this type of optical proximity correction on both mask and wafer level. Test structures have also been designed for the characterisation of the dimensional mismatch between closely spaced photomask features. A number of photomasks were fabricated with these structures and the results from electrical measurements have been analysed to obtain information about the capability of the mask making process. The electrical test structures have demonstrated the capability of measuring tool and process induced dimensional mismatches in the nanometer range on masks which would otherwise prove difficult with standard optical metrology techniques. For example, electrical measurements detected mismatches of less than 15nm on 500nm wide features. Declaration of Originality I hereby declare that the research recorded in this thesis and the thesis itself was composed and originated entirely by myself in the School of Engineering at The University of Edinburgh. List your exceptions here and sign before your printed name. Optical CD measurements with the MueTec were made by Andrew Hourd at Compugraphics. CD-AFM measurements with the Veeco SXM320 were performed by Ronald Dixson at NIST.

Proceedings ArticleDOI
T. Verdene1, Amir Sagiv1, U. Malul1, T. Alumot1, Shmoolik Mangan1 
TL;DR: In this paper, the effects of illumination and polarization on contrast and detection of EUV patterned masks with programmed defects using Aera2 mask inspection tool at 193nm wavelength were investigated.
Abstract: The progress of optical lithography towards EUV wavelength has placed mask defectivity among major EUV program risks. Traditional mask inspection was carried in the DUV domain at 19x nm wavelength, similar to ArF lithography. As EUV mask patterns approach the 20nm half-pitch level, the resolution of DUV systems approaches its practical limits. At this limit, the lesson learned from ArF lithography is that contrast may be improved significantly by utilizing resolution enhancement techniques such as off-axis illumination shapes. Here we present an experimental study of the effects of illumination and polarization on contrast and detection. We measured a EUV patterned mask with programmed defects using Aera2 mask inspection tool at 193nm wavelength, equipped with a high NA objective. We compared the contrasts of the patterns and the defect detection signals obtained by employing 4 different illumination shapes and three polarization states: linear along x, linear along y, circular polarization. We learned that in order to achieve the best results both in terms of contrast and in terms of detection, it is most important to choose a suitable exposure conditions. In addition, a proper choice of the polarization state of the illumination can also result in some improvement.