scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2011"


Proceedings ArticleDOI
06 Oct 2011
TL;DR: In this paper, an EUV mask inspection tool, EBeyeM for 2X nm technology node, was developed to detect defects with size of 20 nm and 2 hours in 100 mm square for particle inspection.
Abstract: According to the ITRS Roadmap, the EUV mask requirement for 2X nm technology node is detection of defect size of 20 nm. The history of optical mask inspection tools involves continuous efforts to realize higher resolution and higher throughput. In terms of productivity, considering resolution, throughput and cost, we studied the capability of EUV light inspection and Electron Beam (EB) inspection, using Scanning Electron Microscope (SEM), including prolongation of the conventional optical inspection. As a result of our study, the solution we propose is EB inspection using Projection Electron Microscope (PEM) technique and an image acquisition technique to acquire inspection images with Time Delay Integration (TDI) sensor while the stage is continually moving. We have developed an EUV mask inspection tool, EBeyeM, whole design concept includes these techniques. EBeyeM for 2X nm technology node has the following targets, for inspection sensitivity, defects whose size is 20 nm must be detected and, for throughput, inspection time for particle and pattern inspection mode must be less than 2 hours and 13 hours in 100 mm square, respectively. Performance of the proto-type EBeyeM was reported. EBeyeM for 2X nm technology node was remodeled in light of the correlation between Signal to Noise Ratio (SNR) and defect sensitivity for the proto-type EBeyeM. The principal remodeling points were increase of the number of incident electrons to TDI sensor by increasing beam current for illuminating optics and realization of smaller pixel size for imaging optics. This report presents the performance of the remodeled EBeyeM (=EBeyeM for 2X nm) and compares it with that of the proto-type EBeyeM. Performances of image quality, inspection sensitivity and throughput reveal that the EBeyeM for 2X nm is improved. The current performance of the EBeyeM for 2X nm is inspection sensitivity of 20 nm order for both pattern and particle inspection mode, and throughput is 2 hours in 100 mm square for particle inspection mode.

38 citations


Journal ArticleDOI
TL;DR: In this article, a coherent EUV scatterometry microscope (CSM) was developed for mask inspection and metrology in extreme-ultraviolet (EUV) lithography, and an aerial image of the mask pattern was reconstructed with iterative calculation based on coherent diffraction imaging.
Abstract: In extreme-ultraviolet (EUV) lithography, defect-free mask production is a critical issue for high-volume manufacturing. For mask inspection and metrology, we have developed a coherent EUV scatterometry microscope (CSM). It is a simple lensless system. An aerial image of the mask pattern is reconstructed with iterative calculation based on coherent diffraction imaging. Periodic patterns, aperiodic patterns, and phase structures were reconstructed well by the CSM. A defect in a line-and-space pattern was detected as a diffraction signal. The aerial image of the defect is also reconstructed. This paper demonstrates the capability of the CSM to observe complex diffraction amplitudes directly from the pattern and the defect.

38 citations


Proceedings ArticleDOI
29 Apr 2011
TL;DR: In this article, a coherent EUV scatterometry microscope (CSM) was developed for actinic mask inspection and metrology, which is composed of φ5mm pinhole, turning and focusing multilayer mirrors, a test EUV mask and a back-illuminated CCD camera.
Abstract: For actinic mask inspection and metrology, we have developed a coherent EUV scatterometry microscope (CSM) at NewSUBARU of a synchrotron radiation facility. The CSM is composed of φ5-mm pinhole, turning and focusing multilayer mirrors, a test EUV mask and a back-illuminated CCD camera. Thus this system is lens-less system, records diffraction EUV light from a mask pattern, which is exposed with coherent EUV light. The CSM inspects defect on the EUV mask by the coherent-diffraction-imaging method. Aerial images of periodic and aperiodic patterns on the EUV mask were well reconstructed by the iterative calculation. Since the CSM data include only the diffraction intensity, the missing phase information is reconstructed. A defect with 10-nm width was well inspected. The CSM also evaluates critical dimension (CD) of the mask patterns by diffraction intensities. The mask is illuminated with six-degree angle of the incidence, which equals to the EUV lithography scanners. The test EUV mask of 6025 glass substrate has line-and-space (L/S) patterns of 22-nm nodes. Absorber thickness is about 70 nm. The CSM result is well corresponding with the CD-SEM result at whole mask area. And, high repeatability of 0.3 nm (3φ) is achieved.

31 citations


Proceedings ArticleDOI
01 Apr 2011
TL;DR: In this paper, the authors used a combination of blank inspection (BI), patterned mask inspection (PMI), and wafer inspection (WI) to find as many as possible printing defects on EUV reticles.
Abstract: In this follow-up paper for our contribution at BACUS 2010, first evidence is shown that also the more advanced Lasertec M7360 has missed a few printing reticle defects caused by an imperfection of its EUV mirror, a so-called multilayer defect (ML-defect). This work continued to use a combination of blank inspection (BI), patterned mask inspection (PMI) and wafer inspection (WI) to find as many as possible printing defects on EUV reticles. The application of more advanced wafer inspection, combined with a separate repeater analysis for each of the multiple focus conditions used for exposure on the ASML Alpha Demo Tool (ADT) at IMEC, has allowed to increase the detectability of printing MLdefects. The latter uses the previous finding that ML-defects may have a through-focus printing behavior, i.e., they cause a different grade of CD impact on the pattern in their neighborhood, depending on the focus condition. Subsequent reticle review is used on the corresponding locations with both SEM (Secondary Electron Microscope) and AFM (Atomic Force Microscope). This review methodology has allowed achieving clear evidence of printing ML defects missed by this BI tool, despite of an unacceptable nuisance rate reported before. This is a next step in the investigation if it is possible to avoid actinic blank inspection (ABI) at all, the only presently known technique that is expected to be independent from the presence of a (residual) topography of the ML-defect at the top of the EUV mirror, in detecting those defects. This is considered an important asset of blank inspection, because the printability of a ML-defect on the EUV scanner and its detectability by ABI is determined by the distortion throughout the multilayer, not that at the surface.

30 citations


Patent
24 Jun 2011
TL;DR: In this article, the authors proposed a method for analyzing a defect of a photolithographic mask for an extreme ultraviolet (EUV) wavelength range (UV mask) comprising the steps of: (a) generating at least one focus stack relating to the defect using an EUV mask inspection tool, (b) determining a surface configuration of the UV mask at a position of the defect, providing model structures having the determined surface configuration which have different phase errors and generating the respective focus stacks, and (c) determining the three dimensional error structure of the uV mask defect by
Abstract: The invention relates to a method for analyzing a defect of a photolithographic mask for an extreme ultraviolet (EUV) wavelength range (EUV mask) comprising the steps of: (a) generating at least one focus stack relating to the defect using an EUV mask inspection tool, (b) determining a surface configuration of the EUV mask at a position of the defect, (c) providing model structures having the determined surface configuration which have different phase errors and generating the respective focus stacks, and (d) determining a three dimensional error structure of the EUV mask defect by comparing the at least one generated focus stack of the defect and the generated focus stacks of the model structures.

18 citations


Proceedings ArticleDOI
13 Oct 2011
TL;DR: In this paper, a second generation blank inspection tool has missed a number of printing defects caused by an imperfection of its EUV mirror, i.e., multi-layer defects (ML-defects).
Abstract: First experimental evidence is given that a second generation blank inspection tool has missed a number of printing reticle defects caused by an imperfection of its EUV mirror, i.e., so-called multi-layer defects (ML-defects). This work continued to use a combination of blank inspection (BI), patterned mask inspection (PMI) and wafer inspection (WI) to find as many as possible printing defects on EUV reticles. The application of more advanced wafer inspection, combined with a separate repeater analysis for each of the multiple focus conditions used for exposure on the ASML Alpha Demo Tool (ADT) at IMEC, has allowed to increase the detection capability for printing ML-defects. It exploits the previous finding that ML-defects may have a through-focus printing behavior. They cause a different grade of CD impact on the pattern in their neighborhood, depending on the focus condition. Subsequent reticle review is done on the corresponding locations with both SEM (Secondary Electron Microscope) and AFM (Atomic Force Microscope). This review methodology has allowed achieving clear evidence of printing ML defects missed by this BI tool, despite of a too high nuisance rate, reported before. This establishes a next step in the investigation how essential actinic blank inspection (ABI) is. Presently it is the only known technique whose detection capability is considered independent from the presence of a (residual) distortion of the multi-layer at the top surface. This is considered an important asset for blank inspection, because the printability of a ML-defect in EUV lithography is determined by the distortion throughout the multilayer, not that at the top surface.

17 citations


Journal ArticleDOI
TL;DR: In this paper, the authors evaluated the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, and demonstrated that defect detection sensitivities of actinic blank inspection and patterned mask inspection are higher than that of wafer inspection in HP32nm.
Abstract: The key challenge before extreme ultraviolet lithography is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. We have been developing extreme ultraviolet (EUV) mask infrastructures such as a full-field actinic blank inspection tool and 199 nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of actinic blank inspection and patterned mask inspection are higher than that of wafer inspection in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199 nm wavelength patterned mask inspection tool, and electron beam (EB) wafer inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection are effective in detecting killer defects both at the main pattern and at the light-shield border area.

15 citations


Journal ArticleDOI
TL;DR: In this article, a mask inspection system for EUVL is presented, which can detect defects only a few nanometers wide, and it enables CD measurements with a [email protected] accuracy of 0.32nm.

14 citations


Patent
Holger Seitz1
28 Sep 2011
TL;DR: In this paper, a mask inspection microscope is provided for characterizing a mask having a feature, which is configured to generate an aerial image of at least one segment of the feature of the mask, acquire a spatially resolved intensity distribution of the aerial image, and determine a total intensity from the intensities of a region of the image.
Abstract: A mask inspection microscope is provided for characterizing a mask having a feature. The mask inspection microscope is configured to generate an aerial image of at least one segment of the feature of the mask, acquire a spatially resolved intensity distribution of the aerial image, and determine a total intensity from the intensities of at least one region of the aerial image.

13 citations


Proceedings ArticleDOI
TL;DR: In this article, the authors evaluate the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1 and demonstrate that defect detection sensitivities of ABI (actinic blank inspection) and PI (patterned mask inspection) are higher than that of WI (wafer inspection) in HP32nm.
Abstract: The key challenge before EUVL is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of ABI (actinic blank inspection) and PI (patterned mask inspection) are higher than that of WI (wafer inspection) in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199nm wavelength patterned mask inspection tool, and wafer EB inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection developed at Selete, are effective in detecting killer defects both at the main pattern and at light-shield border area.

13 citations


Patent
02 Jun 2011
TL;DR: In this paper, an EUV mask having a multilayer film and an absorber pattern is placed on a mask stage, and the position of a reference mark is detected.
Abstract: PROBLEM TO BE SOLVED: To improve the reliability of an EUV mask used in EUVL SOLUTION: The EUV mask having a multilayer film and an absorber pattern is placed on a mask stage, and the position of a reference mark is detected (step S101) Subsequently, EUV light is emitted on an area to be inspected on the EUV mask and reflected EUV light is captured by an image detector to obtain the reflected EUV light as an image signal (step S103) Then, a calculation value for the image signal is calculated from design data of the absorber pattern (step S105) The obtained image signal is compared with the calculated calculation value for the image signal to determine the presence of a defect in the to-be-inspected area on the EUV mask (step S106) When a defect is detected, the position of the defect is recorded as a relative position to the reference mark (step S107) Following this, the mask stage is moved to repeatedly carry out the above process on another area to be inspected on the EUV mask COPYRIGHT: (C)2011,JPO&INPIT

Journal ArticleDOI
TL;DR: This paper will present double patterning inter- and intrafield data, for CDU and PW monitoring and optimization, measured by Applied Materials' mask inspection and CD-SEM tools, with special emphasis given to speed and effectiveness of the inspection for a production environment.
Abstract: ITRS lithography's stringent specifications for the 22 nm node are a major challenge for the semiconductor industry. With the EUV point insertion at 16 nm node, ArF lithography is expected to reach its fundamental limits. The prevailing view of holistic lithography methods, together with double patterning techniques, has targeted bringing lithography performance toward the 22 nm node (i.e., closer to the immersion scanner resolution limit) to an acceptable level. At this resolution limit, a mask is the primary contributor of systematic errors within the wafer intrafield domain. As the ITRS critical dimension uniformity (CDU) specification shrinks, it would be crucial to monitor the mask static and dynamic critical dimension (CD) changes in the fab, and use the data to control the intrafield CDU performance in a most efficient way. Furthermore, optimization and monitoring of process windows (PW) becomes more critical due to the presence of mask three-dimensional effects. This paper will present double patterning inter- and intrafield data, for CDU and PW monitoring and optimization, measured by Applied Materials' mask inspection and CD-SEM tools. Special emphasis was given to speed and effectiveness of the inspection for a production environment.

Patent
09 May 2011
TL;DR: In this article, an EUV mask inspection device consisting of a light source chamber, an auxiliary chamber, and an inspection optical system chamber is proposed to improve the utilization efficiency of the EUV light source.
Abstract: PROBLEM TO BE SOLVED: To improve utilization efficiency of EUV light in an EUV mask inspection device which uses an EUV light source.SOLUTION: An EUV mask inspection device comprises: light source chamber including EUV light generation means for generating EUV light using a light source gas and light source gas supply means for supplying the light source gas to the EUV light generation means; an auxiliary chamber including a filter which selectively transmits the EUV light generated by the EUV light generation means and first evacuation means; and an inspection optical system chamber including an inspection optical system which leads the EUV light which transmitted the filter to an inspection object and second evacuation means. In the EUV mask inspection device, the light source chamber, the auxiliary chamber, and the inspection optical system chamber are spatially connected. The first evacuation means and the second evacuation means perform differential evacuation between the auxiliary chamber and the inspection optical system chamber.

Proceedings ArticleDOI
06 Oct 2011
TL;DR: Luminescent's Automated Defect Classification (ADC) engine retrieves the high-resolution inspection images and uses a decision-tree to classify a given defect.
Abstract: The routine use of aggressive OPC at advanced technology nodes, i.e., 40nm and beyond, has made photomask patterns quite complex. The high-resolution inspection of such masks often result in more false and nuisance defect detections than ever before. Traditionally, each defect is manually examined and classified by the inspection operator based on defined production criteria. The significant increase in total number of detected defects has made manual classification costly and non-manufacturable. Moreover, such manual classification is also susceptible to human judgment and hence error-prone. Luminescent's Automated Defect Classification (ADC) offers a complete and systematic approach to defect disposition and classification. The ADC engine retrieves the high resolution inspection images and uses a decision-tree flow based on the same criteria human operators use to classify a given defect. Some identification mechanisms adopted by ADC to characterize defects include defect color in transmitted and reflected images, as well as background pattern criticality based on pattern topology. In addition, defect severity is computed quantitatively in terms of its size, impacted CD error, transmission error, defective residue, and contact flux error. The final classification uses a matrix decision approach to reach the final disposition. In high volume manufacturing mask production, matching rates of greater than 90% have been achieved when compared to operator defect classifications, together with run-rates of 250+ defects classified per minute. Such automated, consistent and accurate classification scheme not only allows for faster throughput in defect review operations but also enables the use of higher inspection sensitivity and success rate for advanced mask productions with aggressive OPC features.

Proceedings ArticleDOI
Jihoon Na1, Won-Il Cho1, Tae-Geun Kim1, In-Yong Kang1, Byung-Cheol Cha1, In-Kyun Shin1, Han-Ku Cho1 
06 Oct 2011
TL;DR: In inspection results of EUVL masks with 193nm wavelength tools for 30nm and 24nm half-pitch nodes, the dense line and space and contact pattern is considered and the detection sensitivity is affected by contrast variation of defects.
Abstract: We report inspection results of EUVL masks with 193nm wavelength tools for 30nm and 24nm half-pitch nodes. The dense line and space and contact pattern is considered to study inspection capability. The evaluation includes defect contrast variation depending on illumination conditions, defect types, and design nodes. We show many inspection images with various optic conditions. Consequently, the detection sensitivity is affected by contrast variation of defects. The detection sensitivity and wafer printability are addressed with a programmed defect mask and a production mask. With these results, we want to discuss the capability of current EUVL mask inspection tools and the future direction.

Proceedings ArticleDOI
02 Feb 2011
TL;DR: In this paper, a promising inspection technique for increasing the contrast of pattern imaging and defects capture rate using configurable illumination conditions in 193nm wavelength inspection tool is presented, which is one of the most advanced patterning technologies to overcome the critical resolution limits of current ArF lithography for 30nm generation node.
Abstract: Extreme Ultra Violet Lithography (EUVL) is one of the most advanced patterning technologies to overcome the critical resolution limits of current ArF lithography for 30nm generation node and beyond. Since EUVL mask manufacturing process has not been fully stabilized yet, it is still suffering from many defect issues such as blank defects, defects inside multilayer causing phase defects, CD defects, LERs (Line Edge Roughness), and so on. One of the most important roles in mask manufacturing process belongs to mask inspection tools, which monitor and visualize mask features, defects and process quality for the EUVL process development. Moreover, as the portion of EUV mask production has been increased due to the EUV Pre-Production Tool (PPT) development, mask inspection technologies for EUVL become highly urgent and critical to guarantee mask quality. This paper presents a promising inspection technique for increasing the contrast of pattern imaging and defects capture rate using configurable illumination conditions in 193nm wavelength inspection tool.

Patent
10 Nov 2011
TL;DR: In this paper, the autofocus apparatus includes a focus error detection unit and a focus control signal generation unit for generating a focus data signal composed of an objective position signal or the target position signal to which a focus correction signal is added.
Abstract: Substrate inspection apparatus, in which the acquisition of the inspection data for a defect and the acquisition of the focus data of the objective lens are performed in parallel, includes an autofocus apparatus for controlling position of the objective lens along its optical axis. The autofocus apparatus includes a focus error detection unit and a focus control signal generation unit for generating a focus control signal for controlling the position of the objective lens for each scan line using a focus data signal composed of an objective position signal or the objective position signal to which a focus error signal is added. When “i” is assumed as a positive integer and “m” is as a natural number, the focus data signal which was acquired during the scanning period of i-th scan line is used to produce the focus control signal used to scan the (i+2m)-th scan line.

Proceedings ArticleDOI
TL;DR: In this paper, the defect detection sensitivity of EB inspection system is quantified using hp 32 nm line and space pattern with about 5 nm LWR (Line Width Roughness) after the optimization of column and inspection condition.
Abstract: It is important to control the defect level of the EUV lithography mask because of pellicle-less. We studied the resist patterned wafer inspection method using EB inspection system. In this paper, the defect detection sensitivity of EB inspection system is quantified using hp 32 nm line and space pattern with about 5 nm LWR (Line Width Roughness). Programmed defects of 13 nm narrowing and 10 nm widening have been detected successfully after the optimization of column and inspection condition. Next, the defects detected by mask inspection system and EB wafer inspection system were compared and were in good agreement for printed killer defects. In these results, EB inspection system is proved to be useful for EUV resist inspection. Further, we evaluated the resist material damage by EB inspection irradiation and indicated the direction of reducing the shrinkage.

Proceedings ArticleDOI
TL;DR: The RS-Mini, a low cost, state of the art mask defect management framework delivering a highly integrated rich user experience, fits in a compact rack mountable server blade less than 2 inches in thickness.
Abstract: Information is 'key'. Timely information in a fab environment provides substantial insight into the Mask quality, process health, steps needed to improve yield and throughout time. Ideal for mask and wafer fabs, the RS-Mini central server brings the inspection tool's terminal to the end user via a desktop application. Hundreds of users can simultaneously classify (with automation capability), annotate repair history, query and summarize year's worth of inspection results with images from tens of tools, as well as establish defect and process health trends in a matter of seconds. The RS-Mini, a low cost, state of the art mask defect management framework delivering a highly integrated rich user experience, fits in a compact rack mountable server blade less than 2 inches in thickness.

Patent
15 Feb 2011
TL;DR: In this paper, an illumination system and a projection objective of a mask inspection apparatus were discussed. But the authors did not specify the objective of the mask inspection system and the projection objective.
Abstract: The invention concerns an illumination system and a projection objective of a mask inspection apparatus. In accordance with an aspect of the invention an illumination system (610) in operation of the mask inspection apparatus illuminates a mask (630) with an illumination bundle of rays (615) having a centroid ray, wherein said centroid ray has a direction dependent on the location of the incidence of the illumination bundle of rays (615) on the mask (630).

Proceedings ArticleDOI
06 Oct 2011
TL;DR: In this paper, the authors describe the development and characterization of a high-resolution bright field mask process that is suitable for meeting 20 nm and early 14 nm optical lithography requirements.
Abstract: The lithography challenges posed by the 20 nm and 14 nm nodes continue to place strict minimum feature size requirements on photomasks. The wide spread adoption of very aggressive Optical Proximity Correction (OPC) and computational lithography techniques that are needed to maximize the lithographic process window at 20 nm and 14 nm groundrules has increased the need for sub-resolution assist features (SRAFs) down to 50 nm on the mask. In addition, the recent industry trend of migrating to use of negative tone develop and other tone inversion techniques on wafer in order to use bright field masks with better lithography process window is requiring mask makers to reduce the minimum feature size of opaque features on the reticle such as opaque SRAFs. Due to e-beam write time and pattern fidelity requirements, the increased use of bright field masks means that mask makers must focus on improving the resolution of their negative tone chemically amplified resist (NCAR) process. In this paper we will describe the development and characterization of a high resolution bright field mask process that is suitable for meeting 20 nm and early 14 nm optical lithography requirements. Work to develop and optimize use of an improved chrome hard mask material on the thin OMOG binary mask blank1 in order to resolve smaller feature sizes on the mask will be described. The improved dry etching characteristics of the new chrome hard mask material enabled the use of a very thin (down to 65 nm) NCAR resist. A comparison of the minimum feature size, linearity, and through pitch performance of different NCAR resist thicknesses will also be described. It was found that the combination of the improved mask blank and thinner NCAR could allow achievement of 50 nm opaque SRAFs on the final mask.. In addition, comparisons of the minimum feature size performance of different NCAR resist materials will be shown. A description of the optimized cleaning processes and cleaning durability of the 50 nm opaque SRAFs will be provided. Furthermore, the defect inspection results of the new high resolution mask process and substrate will be shared.

Proceedings ArticleDOI
29 Apr 2011
TL;DR: In this paper, the authors investigate the impact of intensive ArF scanner exposure both on final wafer and mask performance and report on results obtained at higher energy to determine the ultimate lifetime of OMOG masks.
Abstract: Dimensions on mask continue to shrink to keep up with the ITRS roadmap. This has implications on the material of choice for the blanks. For example, the new binary OMOG stack (Opaque MOSi on Glass) was successfully introduced to meet the mask specifications at the 32nm technology node. Obviously 193-nm optical lithography will be further used in production at even higher NA and lower k1 emphasizing, for example, the impact on wafer of any electromagnetic field migration effects. Indeed, long term radiation damage inducing CD growth and consequently, device yield loss, has already been reported [1, 2]. This mechanism, known as Electric Field induced Migration of chrome (EMF) often shortens the mask's lifetime. Here, a study was conducted to investigate the impact of intensive ArF scanner exposure both on final wafer and mask performances. The Si printed wafers measured with top-down CD-SEM were characterized with respect to CD uniformity, linearity, Sub Resolution Assist Feature (SRAF) printability through process window, MEEF, DOF, and OPC accuracy. The data was also correlated to advanced mask inspection results (e.g. AIMSTM) taken at the same location. More precisely, this work follows a preliminary study [1] which pointed out that OMOG is less sensitive to radiation than standard COG (Chrome On Glass). And, in this paper, we report on results obtained at higher energy to determine the ultimate lifetime of OMOG masks.

Patent
08 Dec 2011
TL;DR: In this article, a method for mask inspection and mask inspection installation is described, which involves a lighting system lighting a mask with a lighting beam pencil, and said mask being observed with an observation beam pencil which is directed onto a sensor arrangement, wherein the light hitting the sensor arrangement is evaluated in order to check the mapping effect of the mask.
Abstract: The invention relates to a method for mask inspection and to a mask inspection installation. A method according to the invention involves a lighting system lighting a mask with a lighting beam pencil, and said mask being observed with an observation beam pencil which is directed onto a sensor arrangement, wherein the light hitting the sensor arrangement is evaluated in order to check the mapping effect of the mask. The lighting system produces a spot of light with limited refraction on the mask, and during the evaluation of the light hitting the sensor arrangement a finite component of the light setting out from the mask to produce the observation beam pencil is disregarded.

Journal ArticleDOI
TL;DR: In this paper, high-dose exposures of extreme-ultraviolet mask surfaces have resulted in significant topographical changes, which were revealed by topographical mapping of reviewed masks using atomic force microscopy.
Abstract: A mask inspection review of pattern features and defects is normally carried out using a secondary electron microscopy technique. Ideally, such mask inspection reviews should be nondestructive; nonetheless, as reported in this paper, high-dose exposures of extreme-ultraviolet mask surfaces have resulted in significant topographical changes, which were revealed by topographical mapping of reviewed masks using atomic force microscopy. Exposures with current densities of 1 mA/cm2 and higher resulted in the formation of topographical features in and around the scanned region on mask surfaces. On the Ru-capped multilayer blanks, the topographies consisted of small or absent depressions surrounded by ridges, which were attributed to secondary-electron-emission induced hydrocarbon deposition. On the chromium-nitride backsides, the topographies were usually simple depressions, although sometimes ridges were observed. The depressions were attributed to volume compaction in the substrate, and were observed for all four mask surfaces studied, substrate compaction took place with both quartz and low thermal expansion material substrates. The height range of the topography extended up to 25 nm, whereas the lateral dimensions often exceeded the scanned area by about a micrometer. While these lateral extensions could not be explained by either beam-induced heating or stress relief, Monte Carlo simulations showed that it could be explained qualitatively by the size of the region within which the energy deposition had taken place. This interpretation suggests that the current understanding as described by Hau-Riege qualitatively describes our observations related to depression topography.

Proceedings ArticleDOI
13 Oct 2011
TL;DR: In this article, the authors reported simulation mask defect printability check and disposition results extending beyond SEM mask defect images into optical inspection mask defects images to demonstrate cost and time reduction by simulation in mask defect management area.
Abstract: We have reported the first part of the work in 2009 BACUS meeting [1], using primarily SEM mask defect images as input. This paper is the extension of that work using mask optical inspection images with a new image process algorithm. Simulation has been widely used in overall lithography process, called computational lithography, as an effective way for cost and time reduction. As the industry moves towards 45nm and 32nm technology nodes in production, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defects are real defects, and among the real defects, which defects should be repaired and how to verify the post-repair defects. In this paper, we report simulation mask defect printability check and disposition results extending beyond SEM mask defect images [1] into optical inspection mask defects images to demonstrate cost and time reduction by simulation in mask defect management area. A new algorithm has been developed in the software tool to convert optical inspection mask defect images into “pseudo-defect” polygons in GDS format. Then, the converted defect polygons were filled with the correct tone to form mask patterns and were merged back into the original design GDS. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple optical model can be used to get aerial image intensity of AOI. With build-in contour analysis functions, the software can easily compare the contour (or intensity) differences between real mask (with defect) and normal mask (without defect). With user provided judging criteria, software can be easily disposition the defect based on contour comparison. The software has been tested and adapted for production use. We will present some accuracy test results against AIMS tool or wafer CDs in defect printability check.

Proceedings ArticleDOI
TL;DR: In this paper, a simple two-mirror optical setup for extreme ultraviolet (EUV) microscopy was evaluated with the BeAGLE X-ray laser system at the University of Berne.
Abstract: High brightness extreme ultraviolet (EUV) light sources for laboratory operation are needed in nano-fabrication and actinic ("at-wavelength") mask inspection. Mask inspection in next generation lithography is crucial for high volume manufacturing. Plasma-based EUV sources have the required compactness. However, their incoherent emission lacks the brightness for fast and high contrast imaging. The X-ray laser is instead characterized by a remarkable brightness in a compact footprint facility. We evaluated a simple two-mirror optical setup for EUV microscopy illuminated with the BeAGLE X-ray laser system at the University of Berne. Single-shot acquisitions were sufficient to obtain high-contrast images of a Siemens star sample at diffraction-limit. Single-shot operation makes the overall acquisition speed limited by the laser repetition rate only. A reference calculation shows how-fast could be actinic inspection. The contrast was enhanced one order of magnitude by means of image processing. For a modest magnification (12x) no significant third-order aberrations were observed, even when tilting the spherical mirror-pair. For high magnification a Schwarzschild design is considered. The latter compensates astigmatism and coma with a mirror-pair per each element (condenser/magnifier), but introduces twice as many reflections as in the evaluated two-concave setup. Hence a compromise between aberration correction and enhancement of illumination must be found case by case.

Proceedings ArticleDOI
TL;DR: Computational Lithography and Inspection (CLI), which has broad applications in mask inspection, metrology, review, and repair, has become essential to fill this technology gap and is presented and discussed.
Abstract: Mask manufacturers will be impacted by two significant technology requirements at 22nm and below: The first is more extensive use of resolution enhancement technologies (RET), such as OPC or Inverse Lithography Technology (ILT), and Source Mask Optimization (SMO); the second is EUV technology. Both will create difficulties for mask inspection, defect disposition, metrology, review, and repair. For example, the use of ILT and SMO significantly increases mask complexity, making mask defect disposition more challenging than ever. EUV actinic inspection and AIMS TM will not be available for at least a few years, which makes EUV defect inspection and disposition more difficult, particularly regarding multilayer defects. Computational Lithography and Inspection (CLI), which has broad applications in mask inspection, metrology, review, and repair, has become essential to fill this technology gap. In this paper, several such CLI applications are presented and discussed.

Book ChapterDOI
01 Jan 2011
TL;DR: In this article, a zone plate microscope is used for atwavelength characterization of extreme ultraviolet masks. The microscope uses as illumination the 13.2 nm wavelength output from a table-top Ni-like Cd laser and allows inspection of EUVL masks under illumination conditions similar to those used in a 4×demagnification lithographic stepper.
Abstract: We describe the implementation of a zone plate microscope for atwavelength characterization of extreme ultraviolet masks. The microscope uses as illumination the 13.2 nm wavelength output from a table-top Ni-like Cd laser. The microscopy allows inspection of EUVL masks under illumination conditions similar to those used in a 4×-demagnification lithographic stepper. High quality EUV images of absorption patterns in EUVL masks have been obtained. Analysis of these images allows characterizing the printability of patterns and defects on the wafer prior to utilization of the mask for production.

Patent
12 Sep 2011
TL;DR: In this paper, an aerial photograph of a mask is taken and the structural size of the mask is determined using a reference value of structural size, at which the intensity values within the aerial photograph correspond to the reference value.
Abstract: The method involves setting a reference value of structural size of a mask (5). An aerial photograph of the mask is recorded. Intensity values within the aerial photograph, at which the structural size corresponds to the reference value, are determined. Dose alterations are determined as variations in the intensity values from an extreme intensity value. Transmission or reflection of the mask is changed in accordance with the determined dose alterations. Dose is adjusted based on the determined dose alterations when performing a wafer exposure using the mask. An independent claim is also included for a mask inspection microscope.

Patent
04 Nov 2011
TL;DR: In this article, a defect estimation method for estimating a defect on a mask, the influence of the defect on the wafer and the degree of improvement by repair, and an inspection device and inspection method for facilitating a defect determination processing and for estimating the influence on a wafer image.
Abstract: PROBLEM TO BE SOLVED: To provide a defect estimation device and a defect estimation method for estimating a defect on a mask, the influence of the defect on a wafer and the degree of improvement by repair, and to provide an inspection device and an inspection method for facilitating a defect determination processing and for estimating a defect on a mask and the resultant influence on a wafer image.SOLUTION: The acquired mask data of the defect portion of mask inspection results 205 is sent to a simulated repair circuit 300 to be simulatedly repaired. The acquired mask data simulatedly repaired is returned to the mask inspection results 205 again and thereafter sent to a wafer transfer simulator 400 along with a reference image at the corresponding portion. Wafer transfer images estimated by the wafer transfer simulator 400 are sent to a comparing circuit 301 and, when it is determined that there is a defect, the coordinate and the wafer transfer image as a basis for the defect determination are stored as transfer image inspection results 206. The mask inspection results 205 and the transfer image inspection result 206 are sent to a review device 500.