scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2012"


Journal ArticleDOI
TL;DR: In this article, a continuous-running multi-kHz laser-produced plasma (LPP) light source has been developed over the last five years and is now undergoing system optimization.
Abstract: High-brightness extreme-ultraviolet light sources are required for mask inspections and metrology, including mask blank inspection, actinic pattern inspection, and aerial image measurement system to improve yield and lower cost of ownership. Laser-produced plasma (LPP) light sources have the highest potential to achieve the brightness requirements for all the range of mask inspection tools currently foreseen. High brightness of LPP sources (100 to 1000 W/mm2 sr) is the result of a smaller source size ( ∼ 0.1 mm) than that of competing technologies. Since brightness is inversely proportional to the area of the source, smaller source size corresponds with greater brightness and hence greater inspection throughput. At the Laboratory for Energy Conversion of ETH Zurich, a fully operational continuous-running multi-kHz LPP light source has been developed over the last five years and is now undergoing system optimization. Adlyte, a spin-off of ETH Zurich, is working with industry leaders to commercialize this LPP source. Individual subsystem configuration and the physical boundary conditions and limitations that affect power, brightness, stability, and lifetime management are discussed. This integrated system produces a measured brightness of 259 W/mm2 sr. Outlook for the future growth and integration of the source in high-volume manufacturing tools is then discussed.

30 citations


Patent
05 Dec 2012
TL;DR: In this article, the acquired mask data of a defect portion is sent to a simulated repair circuit 300 to be simulated and the simulation is returned to the mask inspection results 205 and thereafter sent to the wafer transfer simulator 400 along with a reference image at the corresponding portion.
Abstract: Acquired mask data of a defect portion is sent to a simulated repair circuit 300 to be simulated. The simulation of the acquired mask data 204 is returned to the mask inspection results 205 and thereafter sent to a wafer transfer simulator 400 along with a reference image at the corresponding portion. A wafer transfer image estimated by the wafer transfer simulator 400 is sent to a comparing circuit 301. When it is determined that there is a defect in the comparing circuit 301, the coordinates and the wafer transfer image which is a basis for the defect determination are stored as transfer image inspection results 206. The mask inspection results 205 and the transfer image inspection result 206 are then sent to the review device 500.

29 citations


Journal ArticleDOI
TL;DR: In this article, a coherent EUV scatterometry microscope (CSM) was developed to record diffraction from mask patterns with a charge-coupled-device (CCD) camera.
Abstract: In extreme-ultraviolet (EUV) lithography, defect-free mask production is one of the critical issues for the high-volume manufacturing of semiconductor devices. We developed a coherent EUV scatterometry microscope (CSM), which is a simple lensless system. The CSM records diffraction from mask patterns with a charge-coupled-device (CCD) camera directly, which is illuminated with a coherent EUV light. Since a practical standalone system is required by the industry, we developed a standalone CSM system employing a high-order harmonic generation (HHG) EUV source. The 59th high-order harmonic generation of 13.5 nm wavelength is pumped by a tabletop, 6 mJ, 32 fs, Ti:sapphire laser system. The EUV output energy of 1 µW is successfully achieved. We performed the observation of an EUV mask using the HHG-CSM system. The detection limit of the line defect size is improved to 2 nm for the high output power of the HHG EUV source.

21 citations


Proceedings ArticleDOI
29 Jun 2012
TL;DR: In this paper, a projection electron microscopy (PEM) system was used for 16nm half pitch (hp) node defect inspection, with high electron energy, low aberration optics.
Abstract: EUV lithography with 13.5nm exposure wavelength is dominant candidate for the next generation lithography because of its excellent resolution for 16nm half pitch (hp) node device and beyond. High sensitivity EUV mask pattern defect detection is one of the major issues to realize the device fabrication by using the EUV lithography. In order to achieve the inspection sensitivity and the applicability for 1x node, a projection electron microscopy (PEM) system; which enables us to make the inspection in high resolution and high speed as compared with conventional DUV and EB inspection systems is employed. Applying the PEM system to 16nm hp node defect inspection, we designed the system with high electron energy, low aberration optics. To guarantee the quality of the 16nm node EUV mask, corresponding size programmed defect masks are designed, and PEM system defect detectability is evaluated by using the current system for 2X nm generation. Also, the defect printability is verified by simulation. In this paper, we described targeted defect detection size and show the specification of 16nm hp node PEM system and the verification.

20 citations


Proceedings ArticleDOI
30 Jun 2012
TL;DR: In this paper, a new mask inspection tool, NPI-7000, has been developed to implement not only photo mask pattern (hp1x) inspection, but also EUV mask pattern inspection (hp2x) and blanks inspection with high throughput.
Abstract: Photo lithography potential expands for 32nm node to 2xnm device production by the development of immersion technology and the introduction of phase shift mask, and NPI-6000 using 199nm laser source was developed to correspond to 2xnm node photo mask pattern inspection. On the other hand, EUV lithography with 13.5nm exposure wavelength is dominant candidate for the next generation lithography because of its excellent resolution for 1x half pitch (hp) node device. But, applying 199nm optics to complicated lithography exposure tool option for hp2x nm node and beyond, further development such as image contrast enhancement will be needed. Therefore, a new mask inspection tool, NPI-7000, has been developed. This tool can implement not only photo mask pattern (hp1x) inspection, but also EUV mask pattern inspection (hp2x) and blanks inspection with high throughput. In this paper, features of NPI-7000 and new developed technologies were described and applied results to EUV mask inspections were introduced.

20 citations


Journal ArticleDOI
TL;DR: The Energetiq EQ-10 is a commercially available EUV light source, with an installed base of over 15 sources in the field as discussed by the authors, which has achieved brightness greater than 8 Watts∕mm 2 ∕sr, without sacrificing the spatial and pulse-to-pulse stability.
Abstract: As extreme-ultraviolet (EUV) lithography moves into pre- production, the requirement for commercially available mask metrology tools becomes more urgent. A key to developing a successful tool is a reliable, high-brightness EUV light source. The Energetiq EQ-10 is a commercially available EUV light source, with an installed base of over 15 sources in the field. The source relies on an electrodeless Z-pinch™ to produce greater than 10 Watts∕2π of 13.5 nm 2% bandwidth light. In order to meet brightness and stability requirements of mask metrology tools, we have investigated modifications to the original design of the EQ-10. The result of these modifications has roughly doubled the source output power, and has achieved brightness greater than 8 Watts∕mm 2 ∕sr, without sacrificing the spatial and pulse-to-pulse stability of the original design. This level of performance is sufficient for initial mask blank and imaging inspection tools. © 2012 Society of Photo-Optical Instrumentation Engineers

18 citations


Patent
12 Mar 2012
TL;DR: An EUV integrated circuit fabrication method and system EUV that includes blank inspection, defect characterization, simulation, pattern compensation, modification of the mask writer database, inspection and simulation of patterned masks, and patterned mask repair is described in this article.
Abstract: An EUV integrated circuit fabrication method and system EUV that includes blank inspection, defect characterization, simulation, pattern compensation, modification of the mask writer database, inspection and simulation of patterned masks, and patterned mask repair. The system performs blank inspection to identify defects at multiple focal planes within the blank. The mask can be relocated on the blank and alterations to the pattern can be developed to compensate for the defects prior to prior to patterning the mask. Once the mask has been patterned, the reticle is inspected to identify any additional or remaining defects that were not picked up during blank inspection or fully mitigated through pattern compensation. The patterned reticle can then be repaired prior to integrated circuit fabrication.

17 citations


Journal ArticleDOI
TL;DR: In this paper, Computational metrology and inspection (CMI) has become essential to fill this technology gap, which has broad applications in mask inspection, metrology, review, and repair.
Abstract: Abstract Mask manufacturers will be impacted by two significant technology requirements at 22 nm and below: the first is the more extensive use of resolution enhancement technologies (RET), such as aggressive optical proximity correction (OPC), inverse lithography technology (ILT), and source mask optimization (SMO); the second is the extreme ultraviolet (EUV) technology. Both will create difficulties for mask inspection, defect disposition, metrology, review, and repair. For example, the use of ILT and SMO significantly increases mask complexity, making mask defect disposition more challenging than ever. The EUV actinic inspection and AIMS™ will not be available for at least a few years, which make the EUV defect inspection and disposition more difficult, particularly regarding multilayer defects. Computational metrology and inspection (CMI), which has broad applications in mask inspection, metrology, review, and repair, has become essential to fill this technology gap. In this paper, several such CMI applications are presented and discussed.

15 citations


Proceedings ArticleDOI
08 Nov 2012
TL;DR: In this article, a feasibility study on the requirements of FM on EUVL mask by experiments to establish the phase defect mitigation method is presented. And the optimum ranges of FM line width, depth, and fabrication method on EUV mask based on above results are 3 - 5 m line width and 100 nm depth FM etched into ML respectively.
Abstract: For Extreme Ultra-Violet Lithography (EUVL), fabrication of defect free multi-layered (ML) mask blanks is one of the difficult challenges. ML defects come from substrate defects and adders during ML coating, cannot be removed, and are called as phase defect. If we can accept ML blanks with certain number of phase defects, the blank yield will be drastically up. In order to use such blanks, the phase defects need to be identified and located during ML blank defect inspection before absorber patterning. To locate phase defects on the blanks accurately and precisely, Fiducial Marks (FM) on ML blanks are needed for mask alignment and defect location information. The proposed requirement of defect location accuracy is less than 10 nm [1]. In addition to the previous study for which FMs were etched by Focused Ion Beam (FIB) [2], we fabricated FMs by resist exposure by E-Beam (EB) writer and etching process, and inspected FMs with EUV Actinic full-field mask Blank Inspection (ABI) prototype developed at MIRAI-Selete, EB writer and other mask inspection tools. Then we estimated FM registration accuracy for several line widths and depths. In this paper, we will present the result of feasibility study on the requirements of FM on EUVL mask by experiments to establish the phase defect mitigation method. And the optimum ranges of FM line width, depth, and fabrication method on EUVL mask based on above results are 3 - 5 m line width, not less than 100 nm depth FM etched into ML respectively.

15 citations


Proceedings ArticleDOI
08 Nov 2012
TL;DR: In this paper, an electron beam inspection (EBI) tool was used to detect 16nm defects on a 64nm absorber pattern EUV mask, satisfying the requirements of 15nm NAND Flash HP node EUV masks.
Abstract: EUV lithography (EUVL) is the most promising solution for 16nm HP node semiconductor device manufacturing and beyond. The fabrication of defect free EUV mask is one of the most challenging roadblocks to insert EUVL into high volume manufacturing (HVM). To fabricate and assure the defect free EUV masks, electron beam inspection (EBI) tool will be likely the necessary tool since optical mask inspection systems using 193nm and 199nm light are reaching a practical resolution limit around 16nm HP node EUV mask. For production use of EBI, several challenges and potential issues are expected. Firstly, required defect detection sensitivity is quite high. According to ITRS roadmap updated in 2011, the smallest defect size needed to detect is about 18nm for 15nm NAND Flash HP node EUV mask. Secondly, small pixel size is likely required to obtain the high sensitivity. Thus, it might damage Ru capped Mo/Si multilayer due to accumulated high density electron beam bombardments. It also has potential of elevation of nuisance defects and reduction of throughput. These challenges must be solved before inserting EBI system into EUV mask HVM line. In this paper, we share our initial inspection results for 16nm HP node EUV mask (64nm HP absorber pattern on the EUV mask) using an EBI system eXplore® 5400 developed by Hermes Microvision, Inc. (HMI). In particularly, defect detection sensitivity, inspectability and damage to EUV mask were assessed. As conclusions, we found that the EBI system has capability to capture 16nm defects on 64nm absorber pattern EUV mask, satisfying the sensitivity requirement of 15nm NAND Flash HP node EUV mask. Furthermore, we confirmed there is no significant damage to susceptible Ru capped Mo/Si multilayer. We also identified that low throughput and high nuisance defect rate are critical challenges needed to address for the 16nm HP node EUV mask inspection. The high nuisance defect rate could be generated by poor LWR and stitching errors during EB writing of 64nm HP resist pattern. This result suggests we need further improvements not only in the EBI inspection system but also the patterning processes for 16nm HP node EUV masks.

14 citations


Patent
27 Jun 2012
TL;DR: In this article, the authors provided a mask blank and a transfer mask which reduce EMF bias and satisfy a condition that a light-shielding film ensures enough optical density to prevent exposure of a resist film on a wafer by leaked light caused by overlapping exposure.
Abstract: PROBLEM TO BE SOLVED: To provide a mask blank and a transfer mask which reduce EMF (electromagnetic field) bias and can satisfy a condition that a light-shielding film ensures enough optical density to prevent exposure of a resist film on a wafer by leaked light caused by overlapping exposure.SOLUTION: The mask blank is to be used for manufacturing a binary mask adapted to be applied with ArF excimer laser exposure light, and the mask blank has a light-shielding film 12 for forming a transfer pattern on a light-transmitting substrate 11. The light-shielding film 12 has a layered structure of a lower layer 13 and an upper layer 14 and has an optical density of 2.8 or more for the exposure light. The lower layer 13 has a refractive index n of less than 2.0, an extinction coefficient k of 2.0 or more and a film thickness of 33 nm or more; and the upper layer 14 has an extinction coefficient k of 1.3 or more and a film thickness of 3 nm or more. The phase difference between the exposure light transmitted through the light-shielding film 12 and the exposure light transmitted in air for a distance equal to the thickness of the light-shielding film 12 is 60 degrees or less.SELECTED DRAWING: Figure 5

Patent
15 Jul 2012
TL;DR: In this paper, a method of high-sensitively detecting both of a phase defect existing in a mask blank and the phase defect remaining after manufacturing an EUVL mask is presented.
Abstract: There is provided a method of high-sensitively detecting both of a phase defect existing in a mask blank and a phase defect remaining after manufacturing an EUVL mask. When the mask blank is inspected, EUV light having illumination NA to be within an inner NA but a larger value is irradiated. When the EUVL mask is inspected, by using a dark-field imaging optical system including a center shielding portion for shielding EUV light and a linear shielding portion for shielding the EUV light whose width is smaller than a diameter of the center shielding portion, the center shielding portion and the linear shielding portion being included in a pupil plane, the EUV light having illumination NA as large as or smaller than the width of the linear shielding portion is irradiated.

Proceedings ArticleDOI
16 Apr 2012
TL;DR: In this article, the authors proposed approaches to optimize the optical inspection sensitivity of EUV masks with a view to overcoming some of the absorption limitations of the inspection wavelength and also to enhancing the imaging contrast of the reflected light between the low reflective absorber/antireflection stack and the moderately reflective mirror surface of Mo/Si bilayers, with a thin Ru layer, and which serves to protect the mirror surface from damage and contamination during mask fabrication and wafer printing processes.
Abstract: Pending the availability of actinic inspection tools, optical inspection tools with 193 nm DUV illumination wavelength are currently used to inspect EUV masks and EUVL-exposed wafers. Due to strong optical absorption, DUV photons can penetrate only a few surface layers of EUV masks, making them sub-optimal for detecting hidden defects embedded within the sub-layers of the mask, the so-called phase defects. Although these phase defects may not be detected by optical inspection tools, they may print on the wafer. Conversely, false and nuisance defects which may not print on the wafer may be detected by optical inspection tools, and by so doing, degrade the inspection sensitivity of the tool to real and critical defects. This paper discusses approaches to optimizing the optical inspection sensitivity of EUV masks, with a view to overcoming some of the absorption limitations of the inspection wavelength and also with a view to enhancing the imaging contrast of the reflected light between the low reflective absorber/antireflection coating stack and the moderately reflective mirror surface of Mo/Si bilayers, capped with a thin Ru layer, and which serves to protect the mirror surface from damage and contamination during mask fabrication and wafer printing processes. The effects of mask absorber/ARC stack thickness on optical inspection contrast are simulated using rigorous coupled wave analysis (RCWA), and compared to experimental results. EUV masks with thin absorber/ARC stacks are observed to have higher inspection contrast, up to 15 % higher than their thicker counterparts, especially as the feature pitch gets smaller. Blank defect inspection performance of tools such as the Siemens DFX40 tool and KLA 617 Teron tool equipped with Phasur module are compared, and correlated with patterned mask inspection data generated from KLA 617 Teron tool. Patterned mask defect sensitivities to the tune of 40 nm and 90 nm were obtained on thin and thick absorber/ARC stacks, respectively. The defect location accuracy of the Teron 617 tool is better than 250 nm (3σ), while the alignment repeatability of the Teron 617 on the fiducials is better than 60 nm (3σ). Printability of mask blank and patterned mask defects on exposed wafers in terms of what and where the defects print, are also presented. Four masks with different absorber and antireflection coating thicknesses, some with substrate and absorber programmed defects of different types and sizes, were fabricated and used to expose resistcoated SiN substrate wafers on full field ASML EUV scanners.

Journal ArticleDOI
TL;DR: An algorithm is developed to locate nonfunctional features in a postoptical proximity correction layout without using any design information and can reduce the false and nuisance defect count for a critical polysilicon layer from 80 defects down to 49 defects, leading to substantial reduction in defect review load.
Abstract: Mask inspection has become a major bottleneck in the manufacturing flow taking up as much as 40% of the total mask manufacturing time. In this paper, we explore techniques to improve the reticle inspection flow by increasing its design awareness. We develop an algorithm to locate nonfunctional features in a postoptical proximity correction layout without using any design information. Using this, and the timing information of the design (if available), the smallest defect size that could cause the design to fail is assigned to each reticle feature. The criticality of various reticle features is then used to partition the reticle such that each partition is inspected at a different pixel size and sensitivity so that the false and nuisance defect count is reduced without missing any critical defect. We also develop an analytical model to estimate the false and nuisance defect count. Using those models, our simulation results show that this design-aware mask inspection can reduce the false and nuisance defect count for a critical polysilicon layer from 80 defects down to 49 defects, leading to substantial reduction in defect review load. We also develop a model to estimate first pass yield (FPY) and show that our method can improve the FPY for a polysilicon layer from 11% to 30%. Apart from the polysilicon layer, the potential benefit of this approach is analyzed for active, contact and all the metal/via layers.

Proceedings ArticleDOI
TL;DR: In this article, an optical bright field wafer inspection followed by repeater analysis is used to find a maximum number of programmed and natural defects on a EUV patterned mask.
Abstract: Optical bright field wafer inspection followed by repeater analysis is used to find a maximum number of programmed and natural defects on a EUV patterned mask. Each aspect of the inspection methodology affecting the sensitivity of the wafer inspection is optimized individually. A special focus is given to the wafer stack. Simulation is used to predict the optimum stack properties and experimental verification is performed through exposures on the IMEC EUV Alpha Demo Tool. The final result is benchmarked against state-of-the-art patterned mask inspection and blank inspection to evaluate the capabilities and limitations of the optical wafer inspection. In addition, the locations obtained by each inspection technique (wafer and mask) were reviewed on wafer by means of a new automated methodology that is based on a tight stage accuracy of both inspection tool and review SEM.

Proceedings ArticleDOI
TL;DR: This paper studies the key questions of sensitivity and throughput, in both die-to-die and die- to-database applications, and presents new results, based on a new generation of E-Beam inspection technology, which has a higher data rate at smaller spot sizes.
Abstract: Ever since the 180nm technology node the semiconductor industry has been battling the sub-wavelength regime in optical lithography. During the same time development for a 13.5nm Extreme Ultraviolet [EUV] solution has been in development, which would take us back from a λ/10 to a >λ regime again - at least for one node. Add to this the potential to increase the wafer size as well, and we are at a major crossroads. The introduction of EUV has been marred by many delays, but we are finally seeing the hardware development efforts converge and multiple customers around the world embarking on this adventure. As it becomes clear that this preproduction phase will occur at or below 20nmHP, it also becomes clear that this will happen at the limiting edge of existing 19x-based patterned mask inspection technology, reaching the practical resolution limits at around 20nm HP mask densities. Resolution is coupled with sensitivity and throughput such that the extended sensitivity may come at an unreasonable throughput. Loss of resolution also badly impacts defect dispositioning, or classification, which becomes impractical. As resolution is especially critical for die to database inspection, single die masks and masks with high flare bias are at risk of not being inspectable with 19xnm based inspectors. E-Beam based mask inspection has been proposed and demonstrated as a viable technology for patterned EUV mask inspection. In this paper, we study the key questions of sensitivity and throughput, in both die-to-die and die-to-database applications. We present new results, based on a new generation of E-Beam inspection technology, which has a higher data rate at smaller spot sizes. We will demonstrate the feasibility of acceptable inspection time with EBMI. We also will discuss die-to-data-base inspection and the advantage of using E-Beam imaging for meeting future requirements of single- die EUV masks.

Proceedings ArticleDOI
29 Jun 2012
TL;DR: In this article, a novel projection electron microscopic microscopy (PEM) system is developed to realize pattern defect inspection for 1Xnm EUV mask, which enables to make the inspection in high resolution and high speed as compared with conventional DUV and EB inspection systems.
Abstract: In order to realize pattern defect inspection for 1Xnm EUV mask, we are developing a novel projection electron microscopy (PEM) system; which enables us to make the inspection in high resolution and high speed as compared with conventional DUV and EB inspection systems. In this paper, we have cleared how progress is needed to the decided specification target, e.g., sensitivity of 16nm size in pattern defect and inspection speed of 19 hours/100mm square, as compared to the current PEM optics performance. Then, to achieve the progress, we made a new design concept, i.e., a novel PEM optics employing high electron energy as compared to the current PEM optics, and verifying the concept by using numerical estimations. The results show that the novel PEM optics design concept is capable to meet the progress and the target for 1Xnm EUV mask.

Patent
22 Mar 2012
TL;DR: In this paper, an illumination optical system that illuminates illumination light on the surface of mask blank in which a multilayer film for reflecting extreme ultraviolet (EUV) light is formed.
Abstract: PROBLEM TO BE SOLVED: To provide a mask inspection device capable of detecting phase defect at the stage of mask blank that is a stage before depositing a absorber pattern.SOLUTION: A mask inspection device 100 includes: an illumination optical system that illuminates illumination light on the surface of mask blank in which a multilayer film for reflecting extreme ultraviolet (EUV) light is formed; sensors 105 and 305 that images an image of the same location as a location to which the light is reflected from the surface of the mask blank at a location in which defocus is performed with a different defocus mount; and a determination part 176 that determines the presence or absence of defect of the mask blank using first and second optical images at the same location on the mask blank surface imaged with the different defocus amount.

Proceedings ArticleDOI
Ralf Buengener1
TL;DR: This article presents strategies to overcome the defect inspection challenges expected at the 14 nm technology node: Smaller feature and defect sizes will require very sensitive inspections and the inspection recipes must be stable against inevitable process variation and potentially high defect density going along with new manufacturing methods for nonplanar transistors (FINFETs).
Abstract: This article presents strategies to overcome the defect inspection challenges expected at the 14 nm technology node: Smaller feature and defect sizes will require very sensitive inspections. At the same time the inspection recipes must be stable against inevitable process variation and potentially high defect density going along with new manufacturing methods for nonplanar transistors (FINFETs). The focus is on existing inspection methods and tools such as brightfield and darkfield optical inspection, e-beam inspection and scanning electron microscopy imaging. Examples from 20 nm technology are shown that can be applied to the next node, including: - Choice of the right type of inspection, based on defect type, - complementary inspections at the same step, each being optimized for certain defect types, - smart use of inspection tool features to extend the usefulness of optical inspection, - use of alternative inspections such as e-beam or advanced process inspection, based on technology maturity, - detection of small systematic defects and random defects, - understanding and overcoming the limitations of inspected area vs. sensitivity and throughput, - monitoring inspection recipe performance.

Proceedings ArticleDOI
08 Nov 2012
TL;DR: In this article, the performance of Model EBEYE M for 2X nm in a development phase whose sensitivity in pattern inspection was around 20 nm and in particle inspection was 20 nm with throughput of 2 hours in 100 mm square.
Abstract: According to the ITRS Roadmap [1], within a few years the EUV mask requirement for defect will be detection of defect size of less than 25 nm. Electron Beam (EB) inspection is one of the candidates to meet such a severe defect requirement. EB inspection system, Model EBEYE M※1, has been developed for EUV mask inspection. Model EBEYE M employs Projection Electron Microscope (PEM) technique and image acquisition technique to acquire image with Time Delay Integration (TDI) sensor while the stage moves continuously [2]. Therefore, Model EBEYE M has high performance in terms of sensitivity, throughput and cost. In a previous study, we showed the performance of Model EBEYE M for 2X nm in a development phase whose sensitivity in pattern inspection was around 20 nm and in particle inspection was 20 nm with throughput of 2 hours in 100 mm square [3], [4]. With regard to pattern inspection, Model EBEYE M for High Volume Manufacturing (HVM) is currently under development in the production phase. With regard to particle inspection, Model EBEYE M for 2X nm is currently progressing from the development phase to the production phase. In this paper, the particle inspection performance of Model EBEYE M for 2X nm in the production phase was evaluated. Capture rate and repeatability were used for evaluating productivity. The target set was 100% capture rate of 20 nm. 100% repeatability of 20 nm with 3 inspection runs was also set as a target. Moreover, throughput of 1 hour in 100 mm square, which was higher than for Model EBEYE M for 2X nm in the development phase, was set as a target. To meet these targets, electron optical conditions were optimized by evaluating the Signal-to-Noise Ratio (SNR). As a result, SNR of 30 nm PSL was improved 2.5 times. And the capture rate of 20 nm was improved from 21% with throughput of 2 hours to 100% with throughput of 1 hour. Moreover, the repeatability of 20 nm with 3 inspection runs was 100% with throughput of 1 hour. From these results, we confirmed that Model EBEYE M particle inspection mode could be available for EUV mask production.

Proceedings ArticleDOI
16 Apr 2012
TL;DR: In this paper, a vector-diffraction theory is presented to simulate AIMS optics and mask 3D effects, which is applicable to both rigorous Maxwell solver and empirical model.
Abstract: AIMS™ Die-to-Die (D2D) is widely used in checking the wafer printability of mask defects for DUV lithography. Two AIMS images, a reference and a defect image, are captured and compared with differences larger than certain tolerances identified as real defects. Since two AIMS images are needed, and since AIMS system time is precious, it is desirable to save image search and capture time by simulating reference images from the OPC mask pattern and AIMS optics. This approach is called Die-to-Database (D2DB). Another reason that D2DB is desirable is in single die mask, where the reference image from another die does not exist. This paper presents our approach to simulate AIMS optics and mask 3D effects. Unlike OPC model, whose major concern is predicting printed CD, AIMS D2DB model must produce simulated images that match measured images across the image field. This requires a careful modeling of all effects that impact the final image quality. We present a vector-diffraction theory that is based on solid theoretical foundations and a general formulation of mask model that are applicable to both rigorous Maxwell solver and empirical model that can capture the mask 3D-effects. We demonstrated the validity of our approach by comparing our simulated image with AIMS machine measured images. We also briefly discuss the necessary changes needed to model EUV optics. Simulation is particularly useful while the industry waits for an actinic EUV-AIMS tool.

Proceedings ArticleDOI
Karen D. Badger1, Zhengqing John Qi1, Emily Gallagher1, Kazunori Seki, Gregory McIntyre1 
08 Nov 2012
TL;DR: In this article, the authors explore the correlation between EUVL mask defect signatures detected (and not detected) at both 193 nm and e-beam inspection wavelengths and wafer printable defects.
Abstract: For the next few years, the EUV Lithography (EUVL) community must learn to find mask defects using non-actinic inspection wavelengths. The non-actinic light cannot always determine the exact nature of the defect; whether it is a particle, pattern, or defect in the multilayer. It also cannot predict which defects will induce phase errors and which will induce amplitude errors on wafer. Correlating the signature of the defect as seen by a non-actinic inspection tool and on wafer resist image will inject essential knowledge into the non-actinic defect classification. This paper will explore the correlation between EUVL mask defect signatures detected (and not detected) at both 193 nm and e-beam inspection wavelengths and waferprintable defects. The defects of interest will be characterized at mask level using atomic force microscopy (AFM) and critical dimension scanning microscopy (CDSEM). Simulations will be deployed to explain the signatures illuminated by both EUVL and 193nm exposures. This work addresses the gap between inspection sensitivity at non-actinic wavelengths and EUVL mask defect printability, and provide generalized understanding of how the two views differ.

Proceedings ArticleDOI
Kazunori Seki, Karen D. Badger1, Emily Gallagher1, Toshio Konishi, Gregory McIntyre1 
29 Jun 2012
TL;DR: In this article, the authors evaluated the defect detectability of mask inspection tools at various wavelengths (13.5, 193, 257, 365, 488 and 532 nm).
Abstract: EUV defect detectability is evaluated both through simulation and by conventional mask inspection tools at various wavelengths (13.5, 193, 257, 365, 488 and 532 nm). The simulations reveal that longer wavelength light penetrates deeper into the multilayer than shorter wavelength light, however this additional penetration does not necessarily provide an advantage over shorter wavelengths for detecting defects. Interestingly, for both blank and patterned mask inspections, each wavelength detected unique defects not seen at other wavelengths. In addition, it was confirmed that some of the defects that are detected only by longer wavelengths are printable. This study suggests that a combination of wavelengths may be the most comprehensive approach to finding printable defects as long as actinic inspection is not available.

Proceedings ArticleDOI
TL;DR: In this paper, a full field EUV mask with Teron 61X blank inspection is fabricated to see the printability of various defects on the blank using NXE 3100.
Abstract: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. Recently both blank suppliers achieved 1-digit number of defects at 60nm in size using their M1350s. In this paper, a full field EUV mask with Teron 61X blank inspection is fabricated to see the printability of various defects on the blank using NXE 3100. Minimum printable blank defect size is 23nm in SEVD using real blank defect. Current defect level on blank with Teron 61X Phasur has been up to 70 in 132 X 132mm2. More defect reduction as well as advanced blank inspection tools to capture all printable defects should be prepared for HVM. 3.6X reduction of blank defects per year is required to achieve the requirement of HVM in the application of memory device with EUVL. Furthermore, blank defect mitigation and compensational repair techniques during mask process needs to be developed to achieve printable defect free on the wafer.

Patent
13 Mar 2012
TL;DR: In this article, the authors present a method for source multiplexing illumination for mask inspection at nodes below the 22 nm, where the reflected and scattered light from the mask image is then processed for defect information.
Abstract: Methods and systems for source multiplexing illumination for mask inspection are disclosed. Such illumination systems enable EUV sources of small brightness to be used for EUV mask defect inspection at nodes below the 22 nm. Utilizing the multiple plane or conic mirrors that are either attached to a continuously rotating base with different angles or individually rotating to position for each pulse, the reflected beams may be directed through a common optical path. The light may then be focused by a condenser to an EUV mask. The reflected and scattered light from the mask may then be imaged by some imaging optics onto some sensors. The mask image may be subsequently processed for defect information.

Proceedings ArticleDOI
TL;DR: In this article, the defect printability of the EUV mask as a function of hp nodes is verified by EUV exposure experiments, and the size of defects to be controlled in each device node is defined.
Abstract: Amplitude defects (or absorber defects), which are located in absorber patterns or multilayer surface, can be repaired during mask process while phase defects (or multilayer defects) cannot. Hence, inspection and handling of both defects should be separately progressed. Defect printability study of pattern defects is very essential since it provides criteria for mask inspection and repair. Printed defects on the wafer kill cells and reduce the device yield in wafer processing, and thus all the printable defects have to be inspected and repaired during the mask fabrication. In this study, pattern defect printability of the EUV mask as a function of hp nodes is verified by EUV exposure experiments. For 3x nm hp nodes, defect printability is evaluated by NXE3100. For 2x nm hp node, since resolution of a current EUV scanner is not enough, SEMATECH-Berkeley actinic inspection tool (AIT) as well as micro-field exposure tool (MET) in LBNL are utilized to verify it,. Furthermore those printability results are compared with EUV simulations. As a result, we define size of defects to be controlled in each device node.

Proceedings ArticleDOI
08 Nov 2012
TL;DR: In this article, a comprehensive approach is needed in handling defects both post-aerial and post-high-resolution inspections, especially in the wafer-fab environment, and a later paper will focus on review of defects post aerial-image inspections.
Abstract: As optical lithography continues to extend into low-k1 regime, resolution of mask patterns continues to diminish. The limitation of 1.35 NA posed by water-based lithography has led to the application of various resolution enhancement techniques (RET), for example, use of strong phase-shifting masks, aggressive OPC and sub-resolution assist features, customized illuminators, etc. The adoption of these RET techniques combined with the requirements to detect even smaller defects on masks due to increasing MEEF, poses considerable challenges for a mask inspection engineer. Inspecting masks under their actinic-aerial image conditions would detect defects that are more likely to print under those exposure conditions. However, this also makes reviewing such defects in their low-contrast aerial images very challenging. On the other hand, inspecting masks under higher resolution or mask-plane inspection optics would allow for better viewing of defects post-inspection. However, such inspections generally would also detect many more defects, including critical and nuisance, thereby making it difficult to judge which are of real concern for printability on wafer. Hence, a comprehensive approach is needed in handling defects both post-aerial and post-high resolution inspections. This paper focuses on review of defects post high resolution or mask-plane inspections, especially in the wafer-fab environment. A later paper will focus on review of defects post aerial-image inspections.

Proceedings ArticleDOI
30 Jun 2012
TL;DR: In this paper, the authors assess blank defect position error detected by 3rd generation blank inspection tool, using blank============defect information from blank supplier and 199nm wavelength patterned mask inspection tool NPI-7000.
Abstract: Extreme Ultraviolet Lithography (EUVL) is a promising technology for the fabrication of ULSI devices with 20nm half-pitch node. One of the key challenges before EUVL is to achieve defect-free masks. There are three main categories of mask defects: multilayer defects which cause phase defects, absorber pattern defects, and particles during blank/mask fabrication or mask handling after mask fabrication. It is important to manage multilayer defect because small multilayer defects are difficult to be identified by SEM/AFM after mask patterning and can impact wafer printing. In this paper, we assess blank defect position error detected by 3 rd generation blank inspection tool, using blank defect information from blank supplier and 199nm wavelength patterned mask inspection tool NPI-7000. And we rank blank defect in the order of projection defect size to multilayer in order to estimate blank defect printability. This method avoids overestimating the number of potential killer defects that hardly be identified by SEM/AFM under the condition that EUV-AIMS is not available.

Proceedings ArticleDOI
08 Nov 2012
TL;DR: NxADC as mentioned in this paper is a state-of-the-art automatic defect classification tool that can automatically classify real and false mask defects in very less amount of time compared to a human operator.
Abstract: The mask inspection and defect classification is a crucial part of mask preparation technology and consumes a significant amount of mask preparation time. As the patterns on a mask become smaller and more complex, the need for a highly precise mask inspection system with high detection sensitivity becomes greater. However, due to the high sensitivity, in addition to the detection of smaller defects on finer geometries, the inspection machine could report large number of false defects. The total number of defects becomes significantly high and the manual classification of these defects, where the operator should review each of the defects and classify them, may take huge amount of time. Apart from false defects, many of the very small real defects may not print on the wafer and user needs to spend time on classifying them as well. Also, sometimes, manual classification done by different operators may not be consistent. So, need for an automatic, consistent and fast classification tool becomes more acute in more advanced nodes. Automatic Defect Classification tool (NxADC) which is in advanced stage of development as part of NxDAT1, can automatically classify defects accurately and consistently in very less amount of time, compared to a human operator. Amongst the prospective defects as detected by the Mask Inspection System, NxADC identifies several types of false defects such as false defects due to registration error, false defects due to problems with CCD, noise, etc. It is also able to automatically classify real defects such as, pin-dot, pin-hole, clear extension, multiple-edges opaque, missing chrome, chrome-over-MoSi, etc. We faced a large set of algorithmic challenges during the course of the development of our NxADC tool. These include selecting the appropriate image alignment algorithm to detect registration errors (especially when there are sub-pixel registration errors or misalignment in repetitive patterns such as line space), differentiating noise from very small real defects, registering grey level defect images with layout data base, automatically finding out maximum critical dimension (CD) variation for defective patterns (where patterns could have Manhattan as well as all angle edges), etc. This paper discusses about many such key issues and suggests strategies to address some of them based upon our experience while developing the NxADC and evaluating it on production mask defects.

Patent
23 Feb 2012
TL;DR: In this paper, a method of detecting a defect of a semiconductor exposure mask includes acquiring a background intensity from a surface height distribution of the mask, acquiring a standard background intensity distribution from the background intensity, making light of an arbitrary wavelength incident on the mask and acquiring an image at a position of interest.
Abstract: According to one embodiment, a method of detecting a defect of a semiconductor exposure mask includes acquiring a background intensity from a surface height distribution of the mask, acquiring a standard background intensity distribution from the background intensity, making light of an arbitrary wavelength incident on the mask, and acquiring an image at a position of interest of the mask, acquiring background intensity raw data, based on a signal intensity of the acquired image at the position of interest and a mean value of image intensity data in a peripheral area of the position of interest, finding a correction coefficient of the signal intensity, based on a ratio of the background intensity raw data to the standard background intensity distribution, correcting the signal intensity by multiplying the signal intensity.