scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2015"


Proceedings ArticleDOI
TL;DR: The program has begun to move into the core technology maturation phase in order to enable eventual commercialization of an HVM system, and SEMATECH's vision for moving from early-stage development to commercialization will be shown, including plans for development with industry leading technology providers.
Abstract: SEMATECH aims to identify and enable disruptive technologies to meet the ever-increasing demands of semiconductor high volume manufacturing (HVM). As such, a program was initiated in 2012 focused on high-speed e-beam defect inspection as a complement, and eventual successor, to bright field optical patterned defect inspection [1]. The primary goal is to enable a new technology to overcome the key gaps that are limiting modern day inspection in the fab; primarily, throughput and sensitivity to detect ultra-small critical defects. The program specifically targets revolutionary solutions based on massively parallel e-beam technologies, as opposed to incremental improvements to existing e-beam and optical inspection platforms. Wafer inspection is the primary target, but attention is also being paid to next generation mask inspection. During the first phase of the multi-year program multiple technologies were reviewed, a down-selection was made to the top candidates, and evaluations began on proof of concept systems. A champion technology has been selected and as of late 2014 the program has begun to move into the core technology maturation phase in order to enable eventual commercialization of an HVM system. Performance data from early proof of concept systems will be shown along with roadmaps to achieving HVM performance. SEMATECH’s vision for moving from early-stage development to commercialization will be shown, including plans for development with industry leading technology providers.

20 citations


Proceedings ArticleDOI
09 Jul 2015
TL;DR: In this article, an extensive study of two EUV patterned masks, wherein the mask blank defectivity was characterized using optical (mask and wafer) methods and bright-field mask imaging (using the SHARP actinic microscope) of previously identified blank defects.
Abstract: Despite significant enhancements in defect detection using optical and e-beam methodology, the smaller length scales and increasing challenges of future technology nodes motivate ongoing research into the need and associated cost of actinic inspection for EUV masks. This paper reports an extensive study of two EUV patterned masks, wherein the mask blank defectivity was characterized using optical (mask and wafer) methods and bright-field mask imaging (using the SHARP actinic microscope) of previously identified blank defects. We find that the bright field actinic imaging tool microscope captures and images many defects that are not seen by the automated optical inspection of patterned masks and printed wafers. In addition, actinic review reveals the impact of multilayer damage and depicts the printability profile which can be used as an added metric to define the patterned mask repair and defect compensation strategies.

14 citations


Patent
10 Jul 2015
TL;DR: In this article, a defect detection unit includes a first memory region, a second memory region and an inspection condition reconfiguring unit, and a comparison unit is used to determine the existence of the defect in the case where the difference is larger than a first threshold value.
Abstract: In a mask inspection apparatus, a defect detection unit includes a first memory region, a second memory region, an inspection condition reconfiguring unit, and a comparison unit. The inspection condition reconfiguring unit obtains a difference between gray scales of an optical image stored in the second memory region and a reference image stored in the first memory region. The existence of the defect is determined in the case where the difference is larger than a first threshold value. Further in the case where the number of defects is larger than a second threshold value, an inspection condition is re-estimated and configured and the reference image is regenerated. A comparison unit compares the stored reference image with the stored optical image in the case where the inspection condition is not reconfigured, and compares the regenerated reference image with the stored optical image in the case where the inspection condition is reconfigured.

11 citations


Proceedings ArticleDOI
TL;DR: In this paper, an optical wafer defect inspection system employing a methodology termed Die-to-Golden Virtual Reference Die (D2VRD) was proposed to detect mask adder defects.
Abstract: The detection of EUV mask adder defects has been investigated with an optical wafer defect inspection system employing a methodology termed Die-to-“golden” Virtual Reference Die (D2VRD). Both opaque and clear type mask absorber programmed defects were inspected and characterized over a range of defect sizes, down to (4x mask) 40 nm. The D2VRD inspection system was capable of identifying the corresponding wafer print defects down to the limit of the defect printability threshold at approximately 30 nm (1x wafer). The efficacy of the D2VRD scheme on full chip wafer inspection to suppress random process defects and identify real mask defects is demonstrated. Using defect repeater analysis and patch image classification of both the reference die and the scanned die enables the unambiguous identification of mask adder defects.

9 citations


Proceedings ArticleDOI
23 Oct 2015
TL;DR: Based on the unresolved pattern inspection capability study using DUV mask inspection tool NPI-7000 for 14nm/10nm technology nodes, Wang et al. as discussed by the authors developed a new optical imaging method and tested its inspection capability for the minute pattern smaller than the optical resolution.
Abstract: Mask inspection tool with DUV laser source has been used for Photo-mask production in many years due to its high sensitivity, high throughput, and good CoO. Due to the advance of NGL technology such as EUVL and Nano-imprint lithography (NIL), there is a demand for extending inspection capability for DUV mask inspection tool for the minute pattern such as hp4xnm or less. But current DUV inspection tool has sensitivity constrain for the minute pattern since inspection optics has the resolution limit determined by the inspection wavelength and optics NA. Based on the unresolved pattern inspection capability study using DUV mask inspection tool NPI-7000 for 14nm/10nm technology nodes, we developed a new optical imaging method and tested its inspection capability for the minute pattern smaller than the optical resolution. We confirmed the excellent defect detection capability and the expendability of DUV optics inspection using the new inspection method. Here, the inspection result of unresolved hp26/20nm pattern obtained by NPI-7000 with the new inspection method is descried.

8 citations


Proceedings ArticleDOI
TL;DR: In this paper, a droplet-based EUV LPP source with high brightness and stability for actinic photomask inspection has been designed, developed and tested at the syst em level for the last 8 years and has been commercialized by Adlyte AG.
Abstract: EUV sources with high brightness and stability are required for actinic photomask inspection. High availability and cleanliness after IF are additional stringent requirements. EUV lithography is only production ready, if these tools are available with HVM specifications. At the Laboratory for Energy Conversion, ETH Zurich, droplet-based EUV LPP sources have been designed, developed and tested at the syst em level for the last 8 years and has been commercialized by Adlyte AG. The most advanced facility, namely ALPS II, has been operated as a prototype source for hundreds of hours. In the present wo rk, the EUV plasma is imaged with the help of a pinhole camera. The dimension of the plasma in the direction of the laser axis and the direction of the train equal 60 µm and 70 µm, respectively. The plasma is also imaged using an ICCD with an exposure time of 5 ns. The observed droplet plasma has a characteristic kidney shape. The ICCD is a valuable diagnostic as in spection tools require high pulse-to-pulse reproducibility that cannot be assessed to the full extend using a EUV pinhole camera. Various collector configurations, using either NI or GI, have been integrated into the source. The measuremen ts of the emission characteristics at IF for a GI collector configuration reveal a Gaussian spot shape at IF and a pulse-to-pulse stability of 6.8 % ( 1 ), which matches previous stabilities at the source level. The debris mitigation system employs a three layer strategy between the plasma and IF. Introducing a high momentum flow as a first layer of debris mitigation, the load of tin spots on the collector could be reduced by a factor of 9, hence a significant increase of source life-time is obtained. A quantification by Adlyte of IF cleanliness after 24 hours source operation revealed no relevant contamination with re spect to the requirements for Blank Inspection Cleanliness after IF. Keywords: EUV source, LPP, EUVL, mask inspectio n, optical lithography, droplets, tin

7 citations


Journal ArticleDOI
TL;DR: In this paper, a projection electron microscopy (PEM) system was designed for defect detection for half-pitch (hp) 16-nm node to hp 11-nm mask inspection.
Abstract: High-sensitivity and low-noise extreme ultraviolet (EUV) mask pattern defect detection is one of the major issues remaining to be addressed in device fabrication using extreme ultraviolet lithography (EUVL). We have designed a projection electron microscopy (PEM) system, which has proven to be quite promising for half-pitch (hp) 16-nm node to hp 11-nm node mask inspection. The PEM system was integrated into a pattern inspection system for defect detection sensitivity evaluation. To improve the performance of hp 16-nm patterned mask defect detection toward hp 11-nm EUVL patterned mask, defect detection signal characteristics, which depend on hp 64-nm pattern image intensity deviation on EUVL mask, were studied. Image adjustment effect of the captured images for die-to-die defect detection was evaluated before the start of the defect detection image-processing sequence. Image correction of intrafield intensity unevenness and L/S pattern image contrast deviation suppresses the generation of false defects. Captured images of extrusion and intrusion defects in hp 64-nm L/S patterns were used for detection. Applying the image correction for defect detection, 12-nm sized intrusion defect, which was smaller than our target size for hp 16-nm defect detection requirements, was identified without false defects.

7 citations


Proceedings ArticleDOI
23 Oct 2015
TL;DR: In this paper, an empirical error budget to compensate for various measurement errors, based on the latest HVM inspection and write tool capabilities, is first established and then verified post-patterning.
Abstract: Several challenges hinder EUV photomask fabrication and its readiness for high volume manufacturing (HVM). The lack in availability of pristine defect-free blanks as well as the absence of a robust mask repair technique mandates defect mitigation through pattern shift for the production of defect-free photomasks. By using known defect locations on a blank, the mask design can be intentionally shifted to avoid patterning directly over a defect. The work presented here provides a comprehensive look at pattern shift implementation to intersect EUV HVM for the 7 nm technology node. An empirical error budget to compensate for various measurement errors, based on the latest HVM inspection and write tool capabilities, is first established and then verified post-patterning. The validated error budget is applied to 20 representative EUV blanks and pattern shift is performed using OPC’d 7 nm node fully functional chip designs that were also recently used to fabricate working 7 nm node devices. Probability of defect-free masks are explored for various 7 nm mask levels, including metal, contact, and gate cut layers. From these results, an assessment is made on the current viability of defect-free EUV masks for the 7 nm node.

7 citations


Patent
Aviram Tam1, Lei Zhong1
07 May 2015
TL;DR: In this paper, an analysis system that includes a processor and an memory module is used to find weak points by processing the aerial images using different printability thresholds, and a processor is arranged to determine focus and exposure values for generating a Process Window Qualification (PWQ) wafer to be manufactured using the mask.
Abstract: An analysis system that includes a processor and an memory module; wherein the memory module is arranged to store aerial images of an area of a mask, each aerial image corresponds to focus value out of a set of different focus values; wherein the processor is arranged to find weak points by processing the aerial images using different printability thresholds; and wherein the processor is arranged to determine focus and exposure values for generating a Process Window Qualification (PWQ) wafer to be manufactured using the mask in response to focus and exposure values associated with the weak points.

6 citations


Proceedings ArticleDOI
09 Jul 2015
TL;DR: In this article, the Calibre NxDAT based MDPAutoClassify tool provides an automated software alternative to the manual defect review process by extracting and reporting additional information such as defect location, useful for defect avoidance; defect size, useful in estimating defect printability; and defect nature e.g. particle, scratch, resist void, etc., useful for process monitoring.
Abstract: A blank mask and its preparation stages, such as cleaning or resist coating, play an important role in the eventual yield obtained by using it. Blank mask defects’ impact analysis directly depends on the amount of available information such as the number of defects observed, their accurate locations and sizes. Mask usability qualification at the start of the preparation process, is crudely based on number of defects. Similarly, defect information such as size is sought to estimate eventual defect printability on the wafer. Tracking of defect characteristics, specifically size and shape, across multiple stages, can further be indicative of process related information such as cleaning or coating process efficiencies. At the first level, inspection machines address the requirement of defect characterization by detecting and reporting relevant defect information. The analysis of this information though is still largely a manual process. With advancing technology nodes and reducing half-pitch sizes, a large number of defects are observed; and the detailed knowledge associated, make manual defect review process an arduous task, in addition to adding sensitivity to human errors. Cases where defect information reported by inspection machine is not sufficient, mask shops rely on other tools. Use of CDSEM tools is one such option. However, these additional steps translate into increased costs. Calibre NxDAT based MDPAutoClassify tool provides an automated software alternative to the manual defect review process. Working on defect images generated by inspection machines, the tool extracts and reports additional information such as defect location, useful for defect avoidance [4][5] ; defect size, useful in estimating defect printability; and, defect nature e.g. particle, scratch, resist void, etc., useful for process monitoring. The tool makes use of smart and elaborate post-processing algorithms to achieve this. Their elaborateness is a consequence of the variety and complexity of defects encountered. The variety arises due to factors such as defect nature, size, shape and composition; and the optical phenomena occurring around the defect. This paper focuses on preliminary characterization results, in terms of classification and size estimation, obtained by Calibre MDPAutoClassify tool on a variety of mask blank defects. It primarily highlights the challenges faced in achieving the results with reference to the variety of defects observed on blank mask substrates and the underlying complexities which make accurate defect size measurement an important and challenging task.

5 citations


Patent
02 Apr 2015
TL;DR: In this paper, a method for manufacturing a photomask, by which coordinate accuracy of a pattern formed on a transfer target body can be enhanced, is presented. But the method is limited to a single body.
Abstract: PROBLEM TO BE SOLVED: To provide a method for manufacturing a photomask, by which coordinate accuracy of a pattern formed on a transfer target body can be enhanced.SOLUTION: The method for manufacturing a photomask includes: a step of preparing a pattern design data A; a step of obtaining a transfer surface correction data D that represents a deformation quantity on a major surface of a photomask caused by holding of the photomask in an exposure device, the deformation quantity excluding a self-weight distortion component; a step of obtaining a drawing period height distribution data E that represents a height distribution of a major surface of a photomask blank while the photomask blank is mounted on a stage of a drawing device; a step of obtaining a drawing difference data F from a difference between the drawing period height distribution data E and the transfer surface correction data D; a step of obtaining a drawing coordinate shift amount data G by calculating a coordinate shift amount corresponding to the drawing difference data F; and a drawing step of drawing a pattern on the photomask blank by using the drawing coordinate shift amount data G and the pattern design data A.

Proceedings ArticleDOI
23 Oct 2015
TL;DR: MeRiT® neXT as mentioned in this paper is a low-energetic electron-beam (e-beam)-based mask repair platform that has been developed to address the technical challenges of this new technology.
Abstract: Mask repair is an essential step in the mask manufacturing process as the extension of 193nm technology and the insertion of EUV are drivers for mask complexity and cost. The ability to repair all types of defects on all mask blank materials is crucial for the economic success of a mask shop operation. In the future mask repair is facing several challenges. The mask minimum features sizes are shrinking and require a higher resolution repair tool. At the same time mask blanks with different new mask materials are introduced to optimize optical performance and long term durability. For EUV masks new classes of defects like multilayer and phase defects are entering the stage. In order to achieve a high yield, mask repair has to cover etch and deposition capabilities and must not damage the mask. We will demonstrate in this paper that low energetic electron-beam (e-beam)-based mask repair is a commercially viable solution. Therefore we developed a new repair platform called MeRiT® neXT to address the technical challenges of this new technology. We will analyze the limits of the existing as well as lower energetic electron induced repair technologies theoretically and experimentally and show performance data on photomask reticles. Based on this data, we will give an outlook to future mask repair technology.

Patent
27 Jan 2015
TL;DR: In this paper, a mask inspection apparatus including, a driving unit configured to drive a stage holding an inspection target mask, in which a pattern is formed, or a calibration mask, a light irradiation device configured to irradiate light on the inspected target mask or the calibration mask at a plurality of pixels.
Abstract: A mask inspection apparatus including, a driving unit configured to drive a stage holding an inspection target mask, in which a pattern is formed, or a calibration mask, a light irradiation device configured to irradiate light on the inspection target mask or the calibration mask, an image sensor configured to detect a light quantity signal of transmitted light or reflected light of the inspection target mask or the calibration mask at a plurality of pixels. A sensor amplifier configured to amplify an output of the image sensor with respect to each pixel, generates an optical image, and normalizes a gain and an offset of signal amplitude, wherein at a first setting the sensor amplifier sets the gain and the offset using the calibration mask, and at a second setting the sensor amplifier sets the gain and offset of the inspection target mask based on the first setting.

Proceedings ArticleDOI
09 Jul 2015
TL;DR: In this paper, the performance of the system is measured in terms of defect detectability for 11 nm hp generation EUV mask inspection, and it would require a data processing rate of greater than 1.5 Giga Pixel-Per-Second (GPPS) that would realize less than eight hours of inspection time including the step-and-scan motion associated with the process.
Abstract: High-sensitivity EUV mask pattern defect detection is one of the major issues in order to realize the device fabrication by using the EUV lithography. We have already designed a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 (“Model EBEYE” is an EBARA’s model code), and which seems to be quite promising for 16 nm hp generation EUVL Patterned mask Inspection (PI). Defect inspection sensitivity was evaluated by capturing an electron image generated at the mask by focusing onto an image sensor. The progress of the novel PEM optics performance is not only about making an image sensor with higher resolution but also about doing a better image processing to enhance the defect signal. In this paper, we describe the experimental results of EUV patterned mask inspection using the above-mentioned system. The performance of the system is measured in terms of defect detectability for 11 nm hp generation EUV mask. To improve the inspection throughput for 11 nm hp generation defect detection, it would require a data processing rate of greater than 1.5 Giga- Pixel-Per-Second (GPPS) that would realize less than eight hours of inspection time including the step-and-scan motion associated with the process. The aims of the development program are to attain a higher throughput, and enhance the defect detection sensitivity by using an adequate pixel size with sophisticated image processing resulting in a higher processing rate.

Proceedings ArticleDOI
23 Oct 2015
TL;DR: In this article, the state of mask defectivity is analyzed using information from many characterization sources, including blank inspections, patterned inspection, atomic-force microscopy (AFM), scanning-electron microscopy, and scanning electron microscopy.
Abstract: As Extreme Ultraviolet (EUV) lithography has matured, numerous imposing technical challenges have been the focus of intense scrutiny, including the EUV radiation source, reflective optics, and fundamental mask fabrication. There has been a lurking question on the state of mask defectivity that has been almost unanswerable until the recent relative maturation of the rest of the infrastructure. Without readily available actinic blank or patterned inspection systems, EUV blank and mask manufacturers must continue to rely on relatively low resolution optical systems for blank characterization. Despite best efforts, detectable defects still exist; these can be classified into three types: small defects that can be avoided through pattern-shift, medium defects that can be repaired, and large defects which must be suppressed during manufacture. To successfully intercept high-volume-manufacturing (HVM) for the 7nm node, aggressive, continued industry focus is required to ensure that these three defect types are addressed. Without actinic mask inspection, an unknown element with EUV lithography continues to be the presence of nondetected printable defects – defects that print on wafer despite being undetected during mask or blank fabrication. Another risk is that until recently, focus has been on developing techniques to identify catastrophic defects, while past manufacturing experience tells us that much more subtle defects (<10% CD variation) can have significant impact on yield and performance. Using information from many characterization sources, including blank inspections, patterned inspection, atomic-force microscopy (AFM), scanning-electron microscopy (SEM), as well as 36nm and 32nm pitch wafer printing results, we will try to address what the real current state of mask defectivity is. We will discuss techniques to answer the key questions of: “What defects print, what defects do not, and what might our inspections methods be missing?” From this vantage point, we will analyze the current mask defectivity rates and sources, and assess the gap in capability to support full HVM support.

Patent
24 Jun 2015
TL;DR: In this paper, a mask inspection apparatus includes an optical image acquisition unit, a reference image generation unit, and a comparison circuit configured to compare the optical image with the reference image, a pattern data extraction unit configured to obtain coordinates of a defective portion determined by the comparison unit and to extract, from the design data, pattern data of a predetermined dimension range including the coordinates.
Abstract: A mask inspection apparatus includes an optical image acquisition unit configured to acquire an optical image by irradiating light on a mask, a reference image generation unit configured to generate a reference image from design data of the mask, a comparison circuit configured to compare the optical image with the reference image, a pattern data extraction unit configured to obtain coordinates of a defective portion determined to be defective by the comparison unit and to extract, from the design data, pattern data of a predetermined dimension range including the coordinates, and an interface unit configured to supply an aerial image measurement apparatus with information associated with the defect, the information including the defect coordinates and the extracted pattern data.

Proceedings ArticleDOI
03 May 2015
TL;DR: In this article, a detailed overview of the industry need and the program being developed to address it is presented, and the capabilities in development to accurately access defect sensitivity using the core technology are discussed, and initial results for two types of samples are provided.
Abstract: New device architectures and materials are being introduced to develop 10 and 7 nm node manufacturing processes. In addition, the increasing complexity of multiple patterning adds significant yield challenges. The critical metrology challenges for yield assurance include defect control, control of critical dimension and critical dimension uniformity, and pattern placement control. To support the industry in meeting those challenges SEMATECH continues to evaluate new disruptive metrology technologies that can meet the requirements for high volume manufacturing (HVM). High-speed massively parallel e-beam defect inspection has the potential to address the key gaps limiting today's patterned defect inspection in the fab; primarily, throughput and sensitivity to detect ultra-small critical defects. While SEMATECH targets patterned defect inspection first, the technology also has the potential to support the increasing number of hot spot inspection requirements related to critical dimension uniformity and pattern placement that come with self-aligned quadruple patterning. In addition to wafer applications, next generation mask inspection will benefit from a faster high resolution inspection technology. In late 2014 SEMATECH completed a review, system analysis, and proof of concept evaluation of multiple e-beam technologies for patterned wafer inspection. The selection of a champion technology was made and a core technology maturation phase started with the goal of enabling the eventual commercialization of an HVM system. This paper begins with a brief overview of the industry need and the program being developed to address it. Key technical topics pertaining to imaging performance and defect sensitivity are then examined. Performance data from early proof of concept systems will be shown. The capabilities in development to accurately access defect sensitivity using the core technology will be discussed, and initial results for two types of samples will be provided. Development towards the next generation of non-proprietary test samples will also be presented.

Proceedings ArticleDOI
TL;DR: In this paper, the defect detectability using electron beam (EB) inspection for extreme ultraviolet (EUV) mask was investigated by comparing a projection electron microscope (PEM) and a scanning electron microscope inspection system.
Abstract: Defect detectability using electron beam (EB) inspection for extreme ultraviolet (EUV) mask was investigated by comparing a projection electron microscope (PEM) and a scanning electron microscope (SEM) inspection system. The detectability with EB does not coincide with the printability data because the contrasts of EUV aerial image and EB image for EUV mask are reversed. The detectability for 16 nm sized defect on a half pitch 64 nm line and space pattern is acceptable under 2-nm-line edge roughness (LER) (3 sigma) in both PEM and SEM inspections by applying a special algorithm for image processing. The required and robust inspection conditions such as the number of electrons per pixel and pixel size (resolution) are examined for SEM inspection system. Throughput of a PEM inspection system corresponds to that of a multi-beam SEM one with 250 – 1000 beams.

Patent
30 Apr 2015
TL;DR: In this paper, a light-blocking pattern is formed on the substrate that includes an absorber layer and an anti-reflection coating (ARC) layer to improve the distribution of the pattern formed on a wafer.
Abstract: Provided are photomasks, methods of fabricating the photomasks, and methods of fabricating a semiconductor device by using the photomasks, in which a critical dimension (CD) of a pattern of a specific region of the photomask is corrected to improve the distribution of CDs of the pattern formed on a wafer. The photomasks may include a substrate and a light-blocking pattern formed on the substrate that includes an absorber layer and an anti-reflection coating (ARC) layer. The light-blocking pattern may include at least one of a first corrected area in which a top surface of the absorber layer is exposed, and a second corrected area in which a correction layer is formed on the ARC layer.

Patent
25 Nov 2015
TL;DR: In this paper, a reflective mask inspection system comprises a short wavelength radiation source for irradiating a reflector, and a controller compares reflectance images of the reflective mask from the detector to characterize the mask.
Abstract: A reflective mask inspection system comprises a short wavelength radiation source for irradiating a reflective mask. A detector system detects the short wavelength radiation reflected from the reflective mask and a controller compares reflectance images of the reflective mask from the detector to characterize the mask. The system analyzes the spatially resolved reflectance characteristics of the substrate from different angles with respect to normal to the substrate and/or at different angles of rotation of the substrate. This information can be used to then analyze the mask for buried defects and then characterize those defects. This technique improves over current systems that rely on atomic force microscopes, which can only provide surface information.

Proceedings ArticleDOI
TL;DR: In this article, a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 was designed for hp 16 nm node EUVL patterned mask inspection.
Abstract: EUVL patterned mask defect detection is one of the major issues to realize device fabrication with EUV lithography. 1-6 We have already designed a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 (“Model EBEYE” is an EBARA’s model code), and which seems to be quite promising for hp 16 nm node EUVL patterned mask inspection. The PEM system performs well for hp 16 nm EUVL patterned mask inspection. Moreover, we discuss the system extendibility to 11 nm node defect detection. High-speed image sensor, high-speed image processing circuit, and bright/stable electron source are necessary for hp 11 nm defect inspection. We describe the experimental results of the EUVL patterned mask inspection using the abovementioned system for hp 11 nm node. Programmed defects in hp 11 nm (44 nm on mask) are applied for defect detection sensitivity evaluation.

Proceedings ArticleDOI
09 Jul 2015
TL;DR: In this paper, the authors employed a conductive layer between the etched multilayer (ML) EUV mask and substrate to optimize the mask structure from the standpoint of pattern inspection by using a projection electron microscope (PEM) and considering the other fabrication processes using electron beam (EB) techniques such as CD metrology and mask repair.
Abstract: Patterned mask inspection for an etched multilayer (ML) EUV mask was investigated. In order to optimize the mask structure from the standpoint of not only a pattern inspection by using a projection electron microscope (PEM), but also by considering the other fabrication processes using electron beam (EB) techniques such as CD metrology and mask repair, we employed a conductive layer between the ML and substrate. By measuring the secondary electron emission coefficients (SEECs) of the candidate materials for conductive layer, we evaluated the image contrast and the influence of charging effect. In the cases of 40-pair-ML, 16 nm sized extrusion and intrusion defects were found to be detectable more than 10 sigma in hp 44 nm, 40 nm, and 32 nm line and space (L/S) patterns. Reducing 40-pair-ML to 20-pair-ML degraded the image contrast and the defect detectability. However, by selecting B4C as a conductive layer, 16 nm sized defects remained detectable. A double layer structure with 2.5-nm-thik B4C on metal film used as a conductive layer was found to have sufficient conductivity and also was found to be free from the surface charging effect and influence of native oxide.

Journal ArticleDOI
TL;DR: In this paper, model calculations are presented for thermophoretic protection of an extreme ultraviolet (EUV) mask placed face down in an EUV mask inspection tool. But, it is clear that thermophoresis alone cannot successfully defend against particles smaller than ∼20 µm.
Abstract: Model calculations are presented for thermophoretic protection of an extreme ultraviolet (EUV) mask placed face down in an EUV mask inspection tool. The protection factors, defined as the ratio of challenge particles to deposited particles, are calculated for a variety of test conditions (temperature gradient, gas type, particle density, and particle position) for a reticle bathed in clean gas from a facing showerhead. Thermophoretic protection (in combination with gravity) provides robust protection for particle sizes greater than ∼20 nm. However, for particle sizes less than ∼20 nm, protection falters quickly and is severely degraded for highly diffusing 10 nm particles that are of concern for mask contamination. Estimates are made for the required level of particle protection in both EUV mask inspection and EUV projection lithography. When compared with these estimates for the required protection, it is clear that thermophoresis alone cannot successfully defend against particles smaller than ∼20 nm, an...

Proceedings ArticleDOI
23 Oct 2015
TL;DR: Calibre® MDPAutoClassify as discussed by the authors is an automated software alternative, in the form of a powerful analysis tool for fast, accurate, consistent and automatic classification of blank defects.
Abstract: The blank mask defect review process involves detailed analysis of defects observed across a substrate’s multiple preparation stages, such as cleaning and resist-coating. The detailed knowledge of these defects plays an important role in the eventual yield obtained by using the blank. Defect knowledge predominantly comprises of details such as the number of defects observed, and their accurate sizes. Mask usability assessment at the start of the preparation process, is crudely based on number of defects. Similarly, defect size gives an idea of eventual wafer defect printability. Furthermore, monitoring defect characteristics, specifically size and shape, aids in obtaining process related information such as cleaning or coating process efficiencies. Blank mask defect review process is largely manual in nature. However, the large number of defects, observed for latest technology nodes with reducing half-pitch sizes; and the associated amount of information, together make the process increasingly inefficient in terms of review time, accuracy and consistency. The usage of additional tools such as CDSEM may be required to further aid the review process resulting in increasing costs. Calibre® MDPAutoClassify™ provides an automated software alternative, in the form of a powerful analysis tool for fast, accurate, consistent and automatic classification of blank defects. Elaborate post-processing algorithms are applied on defect images generated by inspection machines, to extract and report significant defect information such as defect size, affecting defect printability and mask usability. The algorithm’s capabilities are challenged by the variety and complexity of defects encountered, in terms of defect nature, size, shape and composition; and the optical phenomena occurring around the defect [1]. This paper mainly focuses on the results from the evaluation of Calibre® MDPAutoClassify™ product. The main objective of this evaluation is to assess the capability of accurately estimating the size of the defect from the inspection images automatically. The sensitivity to weak defect signals, filtering out noise to identify the defect signals and locating the defect in the images are key success factors. The performance of the tool is assessed on programmable defect masks and production masks from HVM production flow. Implementation of Calibre® MDPAutoClassify™ is projected to improve the accuracy of defect size as compared to what is reported by inspection machine, which is very critical for production, and the classification of defects will aid in arriving at appropriate dispositions like SEM review, repair and scrap.

Proceedings ArticleDOI
23 Oct 2015
TL;DR: In this article, a learning system for mask inspection tool with the Projection Electron Microscope (PEM) is presented, where the defect is identified by the PEM system using the defectivity.
Abstract: A learning system has been exploited for the mask inspection tool with the Projection Electron Microscope (PEM). The defect is identified by the PEM system using the "defectivity". The detection capability for hp11nm EUV masks is demonstrated. The learning system for PEM consists of the library of the registered defects. The learning system totally optimizes detection capability reconciling the previously registered defects and the newly registered defect. We have verified the effectiveness of the learning system. We can provide a user-friendly mask inspection system with the higher throughput by PEM and with the smaller cost of ownership by the development.

Patent
12 Jan 2015
TL;DR: In this paper, a method is provided for emulating the imaging of a scanner mask pattern to expose wafers via a mask inspection microscope, in which the mask was corrected by introducing scattering centers.
Abstract: A method is provided for emulating the imaging of a scanner mask pattern to expose wafers via a mask inspection microscope, in which the mask was corrected by introducing scattering centers. The method includes determining a correlation between the first values of at least one characteristic of aerial images of the mask pattern as produced by a mask inspection microscope and the second values of the at least one characteristic of aerial images of the mask pattern as produced by a scanner, recording a first aerial image of the mask pattern with the mask inspection microscope, determining the first values of the at least one characteristic from the first aerial image, and determining the second values of the at least one characteristic of the first aerial image, using the correlation. A mask inspection microscope is also provided for emulating the imaging of a mask pattern of a scanner to expose wafers, in which the mask was corrected by introducing scattering centers.

Proceedings ArticleDOI
24 Aug 2015
TL;DR: In this article, a coherent scattering microscope (CSM) was developed for actinic EUV mask inspection, which measured critical dimensions down to 88 nm and 200 nm l/s patterns were experimentally inspected.
Abstract: We developed a coherent scattering microscope (CSM) for actinic EUV mask inspection. The CSM system was designed to measure critical dimensions down to 88 nm, and 200 nm l/s patterns were experimentally inspected.

Patent
18 Mar 2015
TL;DR: In this article, a photomask manufacturing method is described, which comprises the steps of: preparing pattern design data (A), obtaining transferred face correction data (D), and calculating a coordinate deviation value corresponding to the drawing differential data (F), and determining coordinate deviation values for drawing.
Abstract: The invention provides a photomask manufacturing method, a photomask inspection method, a photomask inspection apparatus, and a drawing device, which are capable of improving the coordinate precision of a pattern formed on a transferred body. The photomask manufacturing method according to the invention comprises the steps of: preparing pattern design data (A); obtaining transferred face correction data (D) representing the deformation of a main surface due to the maintaining of a photomask to an exposure apparatus and the deformation other than the dead weight deflection component; obtaining height distribution data (E) in the drawing which represent the height distribution of the main surface under such a state that the photomask green body is loaded to a work table of the drawing device; obtaining drawing differential data through the difference between the height distribution data (E) in the drawing and the transferred face correction data (D); calculating a coordinate deviation value corresponding to the drawing differential data (F), and determining coordinate deviation value data (G) for drawing; and using the coordinate deviation value data (G) for drawing and the pattern design data (A) for a drawing process on the photomask green body.

Proceedings ArticleDOI
23 Oct 2015
TL;DR: A series of programmed defects with typical sizes and shapes have been established for different mask patterns on OMOG masks and investigated for the defect printability influences through the CDSEM, AIMS and inspection tools.
Abstract: Opaque Mosi on Glass (OMOG) photomask, significantly less prone to mask degradation, has been applied in leading-edge photolithographic flows on 20 nm and 14 nm node. Mask defect problem occurs at any time, rooted in various causes; therefore, defect printability disposition and verification need to be evaluated for new developing process. A series of programmed defects with typical sizes and shapes have been established for different mask patterns on OMOG masks and investigated for the defect printability influences through the CDSEM, AIMS and inspection tools. The results are compiled to produce the defect specifications that can be implemented on OMOG mask fabrication.

Patent
17 Jul 2015
TL;DR: In this article, a defect detection unit detects a defect of the pattern by comparing the two images by using a misplacement map processing unit to generate the map and send it to the defect detector.
Abstract: In a mask inspection apparatus, an optical image acquisition unit acquires an optical image of a pattern in a mask by irradiating light. A reference image generation unit generates a corresponding reference image. A defect detection unit detects a defect of the pattern by comparing the two images. A misplacement data processing unit obtains a misplacement amount of the pattern from the images, and generates misplacement data. A misplacement map processing unit generates and outputs the map to the defect detection unit. The defect detection unit includes, a first comparison unit for comparing the images, a threshold value reconfiguring unit for specifying a portion of the map corresponding to the defect detected, reconfiguring a threshold value according to the shape of the defect and the misplacement direction of the optical image of the specified portion, and a second comparison unit for re-comparing both images using the reconfigured threshold value.