scispace - formally typeset
Search or ask a question
Topic

Mask inspection

About: Mask inspection is a research topic. Over the lifetime, 1072 publications have been published within this topic receiving 8696 citations.


Papers
More filters
Journal ArticleDOI
TL;DR: In this article, an extreme ultraviolet microscopy (EUVM) system for actinic mask inspection is presented, which consists of Schwarzschild optics and an X-ray zooming tube.
Abstract: We constructed an extreme ultraviolet microscopy (EUVM) system for actinic mask inspection that consists of Schwarzschild optics and an X-ray zooming tube. Using this system, a finished extreme ultraviolet lithography (EUVL) mask and Mo/Si glass substrates were inspected. An EUVM image of a 100-nm-width pattern on a 6025 glass mask was clealy observed. The resolution was estimated to be 50 nm or less from this pattern. The programmed phase defect on the glass substrate was also used for inspection. By using the EUV microscope, a programmed phase defect with widths of 90, 100, and 110 nm, a bump of 5 nm and a length of 400 µm was observed finely. The programmed phase defect of a 100-nm-wide and 2-nm-deep pit was also observed. Thus, in this research, the observation of a programmed phase defect was advanced using the EUV microscope, which succeeded in observing a topological defect structure image of a multilayer film. These results show that it is possible to detect the internal reflectance distribution of a multilayer film under the EUV microscope, without depending on surface pertubation.

22 citations

Journal ArticleDOI
Abstract: High-sensitivity extreme ultraviolet (EUV) mask pattern defect detection is one of the major issues remaining to be addressed in device fabrication using extreme ultraviolet lithography. In order to achieve inspection sensitivity and suitability for the 1× nm node, a projection electron microscope (PEM) system is employed that enables high-speed/high-resolution inspection, which is not possible using conventional deep ultraviolet or electron beam inspection systems. By employing higher electron energy in the electron optics (EO) exposure system and by improving the PEM design, we have minimized the aberration that occurs when working with EO systems and we have improved the transmittance of the system. Experimental results showing the improved transmittance were obtained by making electron throughput measurements. To guarantee the tool’s aptness for 16-nm node EUV mask inspection, corresponding sized programmed defects on masks were designed, and the defect detection sensitivity of the EO system was evaluated. Improvements in image resolution and electron throughput have enabled us to detect 16-nm sized defects. The PEM system was integrated into a pattern inspection system for defect detection sensitivity evaluation.

21 citations

Patent
05 Feb 2010
TL;DR: In this article, a target mask pattern is used to expand an initial region in a photo-mask that is included in an initial mask-inspection image, and then a corresponding simulated mask pattern was calculated in an inverse optical calculation using the revised mask-inspection image and an optical model of the mask inspection system.
Abstract: A technique for determining photo-mask defect disposition is described. In this technique, a target mask pattern is used to expand an initial region in a photo-mask that is included in an initial mask-inspection image. In particular, a revised mask-inspection image that includes the initial region and a region surrounding the initial region is generated based on the initial mask-inspection image and the target mask pattern. Then a corresponding simulated mask pattern is calculated in an inverse optical calculation using the revised mask-inspection image and an optical model of the mask-inspection system. This simulated mask pattern is used to simulate a wafer pattern in a photo-lithographic process, and disposition of a possible defect in the initial region is subsequently determined based on the simulated wafer pattern and a target wafer pattern.

21 citations

Proceedings ArticleDOI
01 Jan 1992
TL;DR: In this paper, a design layout incorporating multiphase transitions and voting is presented along with methods of mask fabrication and issues associated with mask inspection and repair are discussed, along with data on actual reticles produced using the prescribed method of manufacture.
Abstract: Issues associated with the commercialization of phase shift masks are discussed. Design layouts incorporating multiphase transitions and voting are presented along with methods of mask fabrication. Issues associated with mask inspection and repair are discussed, along with data on actual reticles produced using the prescribed method of manufacture. Cost of reticles in relation to potential wafer processing gains are compared along with problems associated with the increased complexity of the mask making process.

21 citations

Proceedings ArticleDOI
TL;DR: The SEMATECH Berkeley Actinic Inspection Tool (AIT) as discussed by the authors uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera.
Abstract: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-{micro}m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured {sigma} values of approximately 0.125 at 0.0875 NA.

21 citations

Network Information
Related Topics (5)
Wafer
118K papers, 1.1M citations
78% related
Etching (microfabrication)
85.7K papers, 890.7K citations
72% related
Photonic crystal
43.4K papers, 887K citations
72% related
Chemical vapor deposition
69.7K papers, 1.3M citations
71% related
Integrated circuit
82.7K papers, 1M citations
71% related
Performance
Metrics
No. of papers in the topic in previous years
YearPapers
202110
202016
201924
201819
201727
201632