scispace - formally typeset
Search or ask a question
Topic

Mask inspection

About: Mask inspection is a research topic. Over the lifetime, 1072 publications have been published within this topic receiving 8696 citations.


Papers
More filters
Journal ArticleDOI
TL;DR: The vertical x-ray steppers SS-1 and SS-2 have attained a repeatability of overlay accuracy as high as 25 nm (3σ), and further improvement in pattern placement accuracy is expected with application of distortion compensation writing as discussed by the authors.

10 citations

Proceedings ArticleDOI
TL;DR: In this article, a new PEM pattern inspection system was developed for die-to-die inspection and a targeted inspection throughput of 19-hour inspection per mask with 16nm pixel size for image capture was attained.
Abstract: The framework and the current status of a newly developed PEM pattern inspection system are presented. A die-to-die defect detection sensitivity of the developing system is investigated. A programmed defect mask was used for demonstrating the performance of the system. Defect images were obtained as difference images by comparing PEM images with-defects to the PEM images without-defects. The image-processing system was also developed for die-to-die inspection. A targeted inspection throughput of 19-hour inspection per mask with 16nm pixel size for image capture was attained. Captured image of 28 nm intrusion defect in hp 64 nm L/S pattern was used for detection. The defect is clearly identified by the image processing. But several false defects are also detected. To improve the defect detection sensitivity to reach the targeted level of achieving a higher than 10 S/N value at 16 nm defect size, by applying a higher current density and a developed inspection algorithm adjustment is, currently an on-going program.

10 citations

Patent
02 Jun 2011
TL;DR: In this paper, an EUV mask having a multilayer film and an absorber pattern is placed on a mask stage, and the position of a reference mark is detected.
Abstract: PROBLEM TO BE SOLVED: To improve the reliability of an EUV mask used in EUVL SOLUTION: The EUV mask having a multilayer film and an absorber pattern is placed on a mask stage, and the position of a reference mark is detected (step S101) Subsequently, EUV light is emitted on an area to be inspected on the EUV mask and reflected EUV light is captured by an image detector to obtain the reflected EUV light as an image signal (step S103) Then, a calculation value for the image signal is calculated from design data of the absorber pattern (step S105) The obtained image signal is compared with the calculated calculation value for the image signal to determine the presence of a defect in the to-be-inspected area on the EUV mask (step S106) When a defect is detected, the position of the defect is recorded as a relative position to the reference mark (step S107) Following this, the mask stage is moved to repeatedly carry out the above process on another area to be inspected on the EUV mask COPYRIGHT: (C)2011,JPO&INPIT

10 citations

17 Jun 2009
TL;DR: Huh et al. as mentioned in this paper proposed a defect mitigation scheme for EUV mask blanks with embedded phase defects with a reticle actinic inspection tool (AIT) and the Lasertec M7360, with sensitivity to multilayer defects down to 40-45 nm.
Abstract: Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology Sungmin Huh 1, Patrick Kearney1, Stefan Wurm 1, Frank Goodwin 1, Kenneth Goldberg2, Iacopo Mochi2, Eric GuIIikson 2 1SEMATECH 255 Fuller Road, Suite 309, Albany, NY 12203 USA 2 2-400, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 ABSTRACT The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. The successful production of defect-free masks will depend on the timely development of defect inspection tools, including both mask blank inspection tools and absorber pattern inspection tools to meet the 22 nm half-pitch node. EUV mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360 is operated at SEMA TECH's Mask blank Development Center (MBDC) in Albany, with sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for the next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. This paper will also discuss the kind of infrastructure that will be required in the development and mass production stages. Keywords: EUV, mask, phase defect, DUV inspection, actinic inspection 1. INTRODUCTION Over the past year, the interest by leading edge chip manufacturers has tangibly shifted towards the insertion of extreme ultraviolet lithography (EUVL) into production. This emphasis is increasing the pressure to overcome the lack of defect- free blanks, which remains one of the key challenges impeding the insertion of EUVL into manufacturing. The success of the industry'S mask blank defect reduction effort critically depends on the timely availability of inspection tools that can precisely and reliably find ever smaller defects. SEMA TECH's Mask Blank Development Center (MBDC) facility has provided the world's best defect inspection capability starting in 2003 with the Lasertech M1350 tool, followed by the second generation defect inspection tool, the M7360, in 2006. Both tools use deep ultraviolet (DUV) light sources for defect detection: the 488 nm wavelength for the M1350 and 266 nm wavelength for the M7360. However, to meet high volume manufacturing (HVM) requirements for sub-32 nm half-pitch (HP) patterning, the industry needs a third generation of defect inspection tools capable of finding defects :s: 20 nm on mask blanks with a high capture rate and high blank throughput. In addition, these tools will also need to support extendibility assessments of low defect deposition technologies and the associated infrastructure development towards meeting 22 nm HP defect specifications. SEl\1A TECH operates the actinic inspection tool (AIT) at Lawrence Berkeley National Lab (LBNL) and a state of the art Lasertec M7360 to support the development of inspection tools and reticle blanks to eventually meet HVM requirements. In this paper, we simulated the required defect sensitivity for sub-32 nm HP [1-3] and have prepared an EUV mask blank, fabricated in SEMATECH's MBDC, to be inspected using the M7360 in the MBDC and the AIT at LBNL to compare the sensitivity of these tools. We prepared a mask blank with a real phase defect and then compared the detected image using the M7360 and AIT to explain why we need an actinic inspection tool for the mass production of EUV mask blanks. Most of the cost of ownership (COO) of EUV masks depends on the defect-free mask blank cost. Consequently, defect mitigation technology using mask blanks with some phase defects should be developed to reduce mask blankcosts during mass production. In this paper, we identif)' what is needed for defect mitigation technology and propose possible defect inspection scheme for EUV pilot line applications [4].

10 citations

Proceedings ArticleDOI
29 Sep 2009
TL;DR: In this paper, a novel EUV mask inspection tool with 199nm laser source and super-resolution technique has been developed, based on NPI-5000PLUS, which is a photo-mask inspection tool for hp2X nm node and beyond.
Abstract: A novel EUV mask inspection tool with 199nm laser source and super-resolution technique has been developed. This tool is based on NPI-5000PLUS, which is a photo-mask inspection tool for hp2X nm node and beyond. In order to implement EUV mask inspection with only a short time for mask set-up, reflected illumination type alignment optics to guide alignment mark and adjust mask coordinate with visible illumination light are equipped. Moreover, to inspect EUV masks for hp2X nm and beyond, the image detection optics with the novel polarized illumination technique is incorporated in this tool. Image contrast enhancement was confirmed by experiments and simulations.

10 citations

Network Information
Related Topics (5)
Wafer
118K papers, 1.1M citations
78% related
Etching (microfabrication)
85.7K papers, 890.7K citations
72% related
Photonic crystal
43.4K papers, 887K citations
72% related
Chemical vapor deposition
69.7K papers, 1.3M citations
71% related
Integrated circuit
82.7K papers, 1M citations
71% related
Performance
Metrics
No. of papers in the topic in previous years
YearPapers
202110
202016
201924
201819
201727
201632