scispace - formally typeset
Search or ask a question
Topic

Mask inspection

About: Mask inspection is a research topic. Over the lifetime, 1072 publications have been published within this topic receiving 8696 citations.


Papers
More filters
Journal ArticleDOI
TL;DR: In this paper, the authors present an objective inspection technique to monitor defects and contaminants on the surface of semiconductor devices, and the goal of such an inspection is to detect particle contamination on semiconductor wafers during their processing.
Abstract: The decreasing geometries and increasing die sizes of today's VLSI circuits are demanding ever more stringent controls over particle contamination on semiconductor wafers during their processing Consequently, there is a need for objective inspection techniques to monitor defects and contaminants on the surface of semiconductor devices The goals of such an inspection are:

7 citations

Patent
31 Jul 2003
TL;DR: In this article, a method of inspecting a mask comprising the steps: patterning a semiconductor material with a reference mask, patterning the material with the mask as the inspection item, inspecting both patterns on the semiconductor materials by means of an apparatus suitable for inspecting the semiconduct material, and comparing the pattern generated by the inspection items mask to the pattern created by the reference masks to detect deviations in the inspected item mask from the reference mask.
Abstract: The invention relates to a method of inspecting a mask comprising the steps: patterning a semiconductor material with a reference mask, patterning the semiconductor material with the mask as the inspection item, inspecting both patterns on the semiconductor material by means of an apparatus suitable for inspecting the semiconductor material, and comparing the pattern generated by the inspection item mask to the pattern generated by the reference mask to detect deviations in the inspection item mask from the reference mask. The invention is particularly suitable for reticule inspection. When a semiconductor wafer is multiply patterned by the reference mask and the inspection item mask alternatingly side-by-side, deviations in the reticules are evident as recurrent discrepancies between the patterns on the wafer.

7 citations

Proceedings ArticleDOI
TL;DR: New mask inspection technologies have been developed that not only provide high resolution masks imaged at the same wavelength as the scanner, but that also provide aerial images by using both: software simulation and hardware emulation.
Abstract: Traditional patterned mask inspection has been off-wavelength. For the better part of the past 25years mask inspection systems never adhered to the wavelength of the exposure tools. While in the days of contact and proximity printing this was not a major issue, with the arrival of steppers and scanners and the slow migration from 436nm, 405nm, 365nm and 248nm to ultimately 193nm, on-wavelength inspection has become a necessity. At first there was the option with defect and printline review using an at-wavelength AIMS tool [Fig 1], but now the industry has moved towards Patterned Mask Inspection to be at-wavelength too. With ever decreasing wavelength, more and more materials have become opaque, and especially the 266/257nm inspection to 193nm printing wavelength has proven to be a reliability issue. The industry took a major step forward with the adoption of at-wavelength aerial inspection, a paradigm shift in mask inspection, as it uses a hardware emulation to parallel the scanner's true illumination settings [Fig 2]. The technology has found wide-spread acceptance by now, and 19xnm inspection is now the industry standard.

7 citations

Proceedings ArticleDOI
Karen D. Badger1, Zhengqing John Qi1, Emily Gallagher1, Kazunori Seki, Gregory McIntyre1 
08 Nov 2012
TL;DR: In this article, the authors explore the correlation between EUVL mask defect signatures detected (and not detected) at both 193 nm and e-beam inspection wavelengths and wafer printable defects.
Abstract: For the next few years, the EUV Lithography (EUVL) community must learn to find mask defects using non-actinic inspection wavelengths. The non-actinic light cannot always determine the exact nature of the defect; whether it is a particle, pattern, or defect in the multilayer. It also cannot predict which defects will induce phase errors and which will induce amplitude errors on wafer. Correlating the signature of the defect as seen by a non-actinic inspection tool and on wafer resist image will inject essential knowledge into the non-actinic defect classification. This paper will explore the correlation between EUVL mask defect signatures detected (and not detected) at both 193 nm and e-beam inspection wavelengths and waferprintable defects. The defects of interest will be characterized at mask level using atomic force microscopy (AFM) and critical dimension scanning microscopy (CDSEM). Simulations will be deployed to explain the signatures illuminated by both EUVL and 193nm exposures. This work addresses the gap between inspection sensitivity at non-actinic wavelengths and EUVL mask defect printability, and provide generalized understanding of how the two views differ.

7 citations

Journal ArticleDOI
TL;DR: In this paper, a projection electron microscopy (PEM) system was designed for defect detection for half-pitch (hp) 16-nm node to hp 11-nm mask inspection.
Abstract: High-sensitivity and low-noise extreme ultraviolet (EUV) mask pattern defect detection is one of the major issues remaining to be addressed in device fabrication using extreme ultraviolet lithography (EUVL). We have designed a projection electron microscopy (PEM) system, which has proven to be quite promising for half-pitch (hp) 16-nm node to hp 11-nm node mask inspection. The PEM system was integrated into a pattern inspection system for defect detection sensitivity evaluation. To improve the performance of hp 16-nm patterned mask defect detection toward hp 11-nm EUVL patterned mask, defect detection signal characteristics, which depend on hp 64-nm pattern image intensity deviation on EUVL mask, were studied. Image adjustment effect of the captured images for die-to-die defect detection was evaluated before the start of the defect detection image-processing sequence. Image correction of intrafield intensity unevenness and L/S pattern image contrast deviation suppresses the generation of false defects. Captured images of extrusion and intrusion defects in hp 64-nm L/S patterns were used for detection. Applying the image correction for defect detection, 12-nm sized intrusion defect, which was smaller than our target size for hp 16-nm defect detection requirements, was identified without false defects.

7 citations

Network Information
Related Topics (5)
Wafer
118K papers, 1.1M citations
78% related
Etching (microfabrication)
85.7K papers, 890.7K citations
72% related
Photonic crystal
43.4K papers, 887K citations
72% related
Chemical vapor deposition
69.7K papers, 1.3M citations
71% related
Integrated circuit
82.7K papers, 1M citations
71% related
Performance
Metrics
No. of papers in the topic in previous years
YearPapers
202110
202016
201924
201819
201727
201632