scispace - formally typeset
Search or ask a question

Showing papers on "Microheater published in 2002"


Journal ArticleDOI
TL;DR: A glass vacuum package based on localized aluminum/silicon-to-glass bonding has been successfully demonstrated in this article, where a constant heat flux model shows that heating can be confined locally in the dielectric layer underneath a microheater as long as the width of the microheaters and the thickness of silicon substrate are much smaller than the die size and a good heat sink is placed underneath the silicon substrate.
Abstract: A glass vacuum package based on localized aluminum/silicon-to-glass bonding has been successfully demonstrated. A constant heat flux model shows that heating can be confined locally in the dielectric layer underneath a microheater as long as the width of the microheater and the thickness of silicon substrate are much smaller than the die size and a good heat sink is placed underneath the silicon substrate. With 3.4 W heating power, /spl sim/0.2 MPa applied contact pressure and 90 min wait time before bonding, vacuum encapsulation at 25 mtorr (/spl sim/3.33 Pa) can be achieved. Folded-beam comb drive /spl mu/-resonators are encapsulated and used as pressure monitors. Long-term testing of vacuum-packaged p-resonators with a Quality Factor (Q) of 2500 has demonstrated stable operation after 69 weeks. A /spl mu/-resonator with a Q factor of /spl sim/9600 has been vacuum encapsulated and shown to be stable after 56 weeks.

180 citations


Journal ArticleDOI
TL;DR: In this article, an approach for high-throughput rapid screening of chemical vapor deposition (CVD) materials using micromachined silicon microheater arrays is described, and in situ electrical measurements were made with integrated microcontacts during the deposition of TiO2 using titanium(IV) isopropoxide.
Abstract: An approach for high-throughput rapid screening of chemical vapor deposition (CVD) materials using micromachined silicon microheater arrays is described. To illustrate this approach, titanium dioxide was deposited by CVD, using titanium(IV) nitrate and titanium(IV) isopropoxide at temperatures between 130 and 815 °C. Deposition was confined to the microhotplate elements within 4- and 16-element arrays. Film microstructure was examined by scanning electron microscopy. In situ electrical measurements were made with integrated microcontacts during the deposition of TiO2 using titanium(IV) isopropoxide. A novel approach using temperature-programmed deposition with temperature ramp rates up to 800 °C/s was also employed for microstructure modification during deposition. Additionally, the steep temperature gradients present on the microhotplate supports have been demonstrated to provide an excellent platform for investigating temperature-dependent microstructures.

51 citations


Proceedings ArticleDOI
07 Aug 2002
TL;DR: In this paper, a new concept of a disposable air-bursting detonator as an alternative on-chip power source has been proposed, designed, and successfully demonstrated for disposable lab-on-a-chips or biochips.
Abstract: A new concept of a disposable air-bursting detonator as an alternative on-chip power source has been proposed, designed, and successfully demonstrated for disposable lab-on-a-chips or biochips. In this new detonator, a microheater is positioned on the thermoplastic membrane attached over a pressurized chamber. By applying an electrical pulse into the microheater, the thermoplastic membrane can be broken and then the pressurized air will be detonated to drive liquid samples through microchannels. Both air pressure and detonating temperature are adjustable to obtain the desired driving pressure response. Dynamic pressure response of the fabricated air-bursting detonator has been simulated and experimentally characterized. Due to its compact structure and fast response, this detonator will be a promising alternative power source to drive fluid samples in disposable lab-on-a-chips or portable clinical diagnostic kits.

27 citations


Journal ArticleDOI
TL;DR: In this paper, the thermal actuation of thin-film cantilever microstructures is studied and it is shown that the cantilevers are composed of bilayers of aluminum and silicon nitride fabricated using surface micromachining on glass substrates.
Abstract: Thermal actuation of thin-film cantilever microstructures is studied. The cantilevers are composed of bilayers of aluminum and silicon nitride fabricated using surface micromachining on glass substrates. The structures are actuated by supplying heat from a TiW microheater located near the foot of the cantilever. The movement of the cantilever in response to a temperature change is due to the difference in coefficients of thermal expansion of the two component materials. This movement is characterized optically as a function of the input power (under quasi-DC conditions) and as a function of the frequency of the applied power (under AC conditions) for different length cantilevers.

22 citations


Journal ArticleDOI
TL;DR: In this paper, two sets of insulation cavities for the heater elements are designed and fabricated to reduce the heat transferring from the heater element to the substrate and the other one to reduce that of heat to the wiring.
Abstract: We are developing a fingerprint-sensor device that has one-dimensionally arrayed high-density microheater elements. To provide thermal isolation, we designed and fabricated two sets of insulation cavities for the heater elements, one to reduce the heat transferring from the heater elements to the substrate and the other one to reduce that of heat to the wiring. The insulation cavities in the first set are etched and laterally penetrate under the heater bridges, leaving a SiO2 diaphragm with the heater bridges on it. Those in the second set are etched lengthwise of each heater element, leaving a set of SiO2-wiring bridges over them. These SiO2-wiring bridges are used to perform metal-film wiring. The wiring (an electrical feed through) is formed using the lift-off method and running on the SiO2 film surface from the heater element to the bonding pad through the SiO2-wiring bridges; in this way, the amount of heat transferred to the wiring is reduced. The fabricated sensor device was made on a (1 0 0) silicon-on-insulator (SOI) wafer using micromachining technology. Each heater bridge was 5 μm ×17 μm ×50 μm with a pitch of 80 μm. Because of its small thermal capacity and effective thermal isolation, the sensor element is very sensitive. When a 0.4 V/20 μs pulse voltage was applied to the heater elements, their resistance reached a steady maximum value in about 4–5 μs, i.e. the temperature rise was saturated promptly.

22 citations


Journal ArticleDOI
TL;DR: In this article, a dry etching of Si using etch and passivation cycles has been developed to produce 240 μm thick Si microheaters with 3 μm wide wires, achieving a high aspect ratio of 80:1.
Abstract: Freestanding, high aspect ratio microstructures in Si were micromachined as thick microheaters. These microheaters, combined with adsorbents, can be used as preconcentrators in a micromachined gas chromatography system. Dry etching of Si using etch and passivation cycles has been developed to produce 240 μm thick Si microheaters with 3 μm wide wires, achieving a high aspect ratio of 80:1. This optimized dry etching technology results in high etch rates with vertical profiles for thick Si microheaters up to 535 μm. Microheaters with 40 μm wide wires, 110 μm gaps, 400 μm thick, and an area of 9 mm2 have been fabricated. With the heater on a 140 μm thick Si membrane, it takes 1320 mW to increase the temperature by 290 °C. The power consumption is reduced to 447 mW for the same temperature raise with a freestanding Si microheater. Heating response for freestanding Si microheaters with different thicknesses is also studied. These Si microheaters have fast response times and reach 75% of the final temperature i...

17 citations


Journal ArticleDOI
TL;DR: A review of different methods of film growth on microhotplates with applications for chemical sensing can be found in this article, with an emphasis on the chemical vapor deposition method, where the elements with heaters set above the lowest nucleation temperature for a given precursor are the only ones that will have film deposited on them.
Abstract: Microhotplates are micromachined platforms with integrated heaters and contact electrodes that can be used as miniature substrates for metal oxide film growth. Fabricated as arrays, they enable efficient combinatorial studies to be performed on a single chip. A variety of growth methods are compatible with their use, including evaporation, sputtering, chemical vapor deposition, and deposition from pastes or sol gels using screen printing, drop deposition, or spin-coating. The microheater on each element may be used to control the temperature during deposition or for a post-annealing step such as sintering, while the film contact electrodes serve as a built-in monitor of the fabrication process. In chemical vapor deposition using arrays, the elements with heaters set above the lowest nucleation temperature for a given precursor are the only ones that will have film deposited on them, resulting in a kind of self-lithography. This review gives examples of different methods of film growth that have been employed on microhotplates with applications for chemical sensing, with an emphasis on the chemical vapor deposition method.

17 citations


Proceedings ArticleDOI
07 Nov 2002
TL;DR: In this paper, an original design of a polysilicon loop-shaped microheater on a thin stacked dielectric membrane is presented, which ensures high thermal uniformity and insulation and very low power consumption.
Abstract: In this paper, an original design of a polysilicon loop-shaped microheater on a thin stacked dielectric membrane is presented. This design ensures high thermal uniformity and insulation (20.000/spl deg/C/W) and very low power consumption (20 mW for heating at 400/spl deg/C). Moreover, the use of completely CMOS compatible TMAH-based bulk-micromachining techniques allows an easy smart gas sensor integration in SOI-CMOS technology.

14 citations


Journal ArticleDOI
TL;DR: Suspended microstructures consisting of a thin oxide/nitride diaphragm with embedded polysilicon heaters were designed and fabricated using a standard complementary metaloxide-semiconductor process and simple postprocessing as mentioned in this paper.
Abstract: Suspended microstructures consisting of a thin oxide/nitride diaphragm with embedded polysilicon heaters were designed and fabricated using a standard complementary metal–oxide–semiconductor process and simple postprocessing. Thin films of gas sensitive materials based on the SrFeO2.5+x nonstoichiometric perovskite family were deposited onto the diaphragms by room-temperature pulsed excimer laser deposition. Successful chemical sensor functionality was demonstrated. With applied power up to 30 mW, estimated temperatures of the gas sensor film up to 900 °C were reached. When the device was exposed to volatile organic compounds (VOCs) such as acetone and methanol, a reversible ten to 100-fold increase in sensor film resistance was observed, with response times from less than 1 s to a few minutes. Sensor response sensitivity depended on applied power and on the nature of the VOC analyte. This sensor device has the potential for use in multiarray configurations such as in an electronic nose.

13 citations


Proceedings ArticleDOI
19 Apr 2002
TL;DR: In this article, an original design of a polysilicon loop-shaped microheater on a thin stacked dielectric membrane is presented, which ensures high thermal uniformity and insulation (20,000 degrees C/W) and very low power consumption (20 mW for heating at 400 degrees C).
Abstract: An original design of a polysilicon loop-shaped microheater on a thin stacked dielectric membrane is presented. This design ensures high thermal uniformity and insulation (20,000 degrees C/W) and very low power consumption (20 mW for heating at 400 degrees C). Moreover, the use of CMOS-IC compatible TMAH-based bulk-micromachining techniques will allow an easy and low cost gas sensor integration.

7 citations


Proceedings ArticleDOI
07 Aug 2002
TL;DR: In this article, a microprobe-based electrical and thermal recording technique for high-density data storage is proposed, where a microheater integrated at the free end of a thermal micro-probe is formed by diffusion of boron for 3 hours at 1160/spl deg/C and supported by two conductive silicon legs.
Abstract: In this paper, we propose microprobe-based electrical and thermal recording techniques for application to high-density data storage. A microheater integrated at the free end of a thermal microprobe is formed by diffusion of boron for 3 hrs at 1160/spl deg/C and is supported by two conductive silicon legs. When flowing a pulsed current through the legs, the heater is electrically heated in a short time. Primary experiments for electrical and thermal recording are evaluated using sol-gel processed PZT and AgInSbTe thin films as storage media. For electrical recording on the PZT film, a voltage pulse is applied between a conductive tip and the PZT film. The nano-sized ferroelectric domains are easily switched by the applied voltage. The smallest marks were below 100 nm in diameter, which correspond to bit densities over 70 Gb/in/sup 2/. For thermal recording on AgInSbTe, the conductive tip is Joule heated by flowing a current and then the heated tip undergoes a local phase change (amorphous to crystalline or crystalline to amorphous). To read the formed mark, we measure the electrical resistance between a bottom electrode of AgInSbTe and the conductive tip because the electrical resistance of the amorphous state is higher than that of the crystalline state.

Proceedings ArticleDOI
C.H. Lin1, Qun Li1, A.A. Au1, Y. Jiang1, Edward R. Lyons1, H.P. Lee1 
17 Mar 2002
TL;DR: In this article, a strain induced long period grating (LPG) was created by bonding an etched single mode fiber (SMF) onto a corrugated Si fixture with on-chip heater and temperature sensor.
Abstract: In summary, we report a strain induced long period grating (LPG) created by bonding an etched single mode fibre (SMF) onto a corrugated Si fixture with on-chip heater and temperature sensor. The loss of the LPG can be tuned electrically through the microheater current and monitored simultaneously. The use of Si fixture lends itself to easy integration of microheater and other cascaded filter structure. The results may be useful for dynamic spectral shaping in DWDM networks.

Patent
09 May 2002
TL;DR: In this paper, a microheater is provided with a thin film heating section established over a cavity section formed on a monocrystal silicon substrate to heat flowing gas to be measured.
Abstract: PROBLEM TO BE SOLVED: To improve long-term stability of a thermal air flow sensor. SOLUTION: The microheater is provided with a thin film heating section established over a cavity section 3 formed on a monocrystal silicon substrate 2 to heat flowing gas to be measured. The above thin film heating section comprises heating resistors 4 and 5, a resistance temperature sensor 6, an air resistance temperature sensor 7, and an upper thin film 8/a lower thin film 9 vertically sandwiching these resistance temperature sensors. At least either of the upper thin film 8 or the lower thin film 9 is formed so as to contain a tensile stress film 10 and also a water-resistant compression stress film 11 is laminatedly formed.

Proceedings ArticleDOI
19 May 2002
TL;DR: In this article, a simple and scalable process for fabricating arrays of thermally tuned fiber devices that are compatible with low power electrical control signals is described and used in fabricating a four-element polarization controller and a 30-element PDM emulator that exhibits accurate first order and second order PMD statistics.
Abstract: Summary form only given. In this paper, we describe a simple and scalable process for fabricating arrays of thermally tuned fiber devices that is compatible with low power electrical control signals. The process was used in fabricating a four-element polarization controller and a 30-element PDM emulator that exhibits accurate first order and second order PMD statistics.

Proceedings ArticleDOI
06 Nov 2002
TL;DR: In this article, a Pd membrane-based micro chemical reactor using micromachining technology to produce hydrogen peroxide from the direct reaction of oxygen and hydrogen is presented, where reaction gases are delivered through gas channels, that is, polyimide for oxygen and silicon for hydrogen.
Abstract: The authors are developing a Pd membrane-based micro chemical reactor using micromachining technology to produce hydrogen peroxide from the direct reaction of oxygen and hydrogen. Reaction gases are delivered through gas channels, that is, polyimide for oxygen and silicon for hydrogen. The hydrogen peroxide at the surface of the membrane is synthesized without external energy. The micro chemical reactor includes the Pd catalyst, micro channels for delivering the reaction gases, and a temperature sensor and microheater fabricated by microsystem technology. The Si is fabricated by deep RIE etching to produce the hydrogen gas channels. The palladium catalyst layer is coated by an evaporation method to permeate the hydrogen selectively, and the Pt layer for temperature sensor and microheater is also deposited by the evaporation method. Lastly, the polyimide layer is fabricated using a sacrificial layer.

Book ChapterDOI
01 Jan 2002
TL;DR: In this paper, a brief overview of the technologies and materials employed in the context of micromachining technology is given, with the intent of showing the huge amount of possibilities available to day to design and fabricate microsystems.
Abstract: This paper gives a brief overview of the techiques and materials employed in the context of micromachining technology. Surface, bulk and bold micromachining methodologies have been discussed and illustrated with the intent of showing the huge amount of possibilities available to day to design and fabricate microsystems. A microheater is also illustrated as an example of microstructure, together with its main thermal distribution characteristic, to indicate a way of producing devices with the capability of hosting temperature dependent sensing features.