scispace - formally typeset
Search or ask a question

Showing papers on "Nanoelectronics published in 1999"


Journal ArticleDOI
TL;DR: In this article, the authors discuss the development of a general approach to rational synthesis of crystalline nanowires of arbitrary composition, and illustrate solutions to these challenges with measurements of the atomic structure and electronic properties of carbon nanotubes.
Abstract: Dimensionality plays a critical role in determining the properties of materials due to, for example, the different ways that electrons interact in three-dimensional, twodimensional (2D), and one-dimensional (1D) structures.1-5 The study of dimensionality has a long history in chemistry and physics, although this has been primarily with the prefix “quasi” added to the description of materials; that is, quasi-1D solids, including square-planar platinum chain and metal trichalcogenide compounds,2,6 and quasi2D layered solids, such as metal dichalcogenides and copper oxide superconductors.3-5,7,8 The anisotropy inherent in quasi-1D and -2D systems is central to the unique properties and phases that these materials exhibit, although the small but finite interactions between 1D chains or 2D layers in bulk materials have made it difficult to address the interesting properties expected for the pure low-dimensional systems. Are pure low-dimensional systems interesting and worth pursuing? We believe that the answer to this question is an unqualified yes from the standpoints of both fundamental science and technology. One needs to look no further than past studies of the 2D electron gas in semiconductor heterostructures, which have produced remarkably rich and often unexpected results,9,10 and electron tunneling through 0D quantum dots, which have led to the concepts of the artificial atom and the creation of single electron transistors.11-15 In these cases, lowdimensional systems were realized by creating discrete 2D and 0D nanostructures. 1D nanostructures, such as nanowires and nanotubes, are expected to be at least as interesting and important as 2D and 0D systems.16,17 1D systems are the smallest dimension structures that can be used for efficient transport of electrons and optical excitations, and are thus expected to be critical to the function and integration of nanoscale devices. However, little is known about the nature of, for example, localization that could preclude transport through 1D systems. In addition, 1D systems should exhibit density of states singularities, can have energetically discrete molecularlike states extending over large linear distances, and may show more exotic phenomena, such as the spin-charge separation predicted for a Luttinger liquid.1,2 There are also many applications where 1D nanostructures could be exploited, including nanoelectronics, superstrong and tough composites, functional nanostructured materials, and novel probe microscopy tips.16-29 To address these fascinating fundamental scientific issues and potential applications requires answers to two questions at the heart of condensed matter chemistry and physics research: (1) How can atoms or other building blocks be rationally assembled into structures with nanometer-sized diameters but much longer lengths? (2) What are the intrinsic properties of these quantum wires and how do these properties depend, for example, on diameter and structure? Below we describe investigations from our laboratory directed toward these two general questions. The organization of this Account is as follows. In section II, we discuss the development of a general approach to the rational synthesis of crystalline nanowires of arbitrary composition. In section III, we outline key challenges to probing the intrinsic properties of 1D systems and illustrate solutions to these challenges with measurements of the atomic structure and electronic properties of carbon nanotubes. Last, we discuss future directions and challenges in section IV.

3,218 citations


Journal ArticleDOI
01 May 1999-Nature
TL;DR: In this article, the authors report controlled, catalytic growth of metal-semiconductor junctions between carbon nanotubes and silicon nanowires, and show that these junctions exhibit reproducible rectifying behavior.
Abstract: Nanometre-scale electronic structures are of both fundamental and technological interest: they provide a link between molecular and solid state physics, and have the potential to reach far higher device densities than is possible with conventional semiconductor technology1,2. Examples of such structures include quantum dots,which can function as single-electron transistors3,4 (although theirsensitivity to individual stray charges might make them unsuitable for large-scale devices) and semiconducting carbon nanotubes several hundred nanometres in length, which have been used to create a field-effect transistor5. Much smaller devices could be made by joining two nanotubes or nanowires to create, for example, metal–semiconductor junctions, in which the junction area would be about 1 nm2 for single-walled carbon nanotubes. Electrical measurements of nanotube ‘mats’ have shown the behaviour expected for a metal–semiconductor junction6. However, proposed nanotube junction structures7 have not been explicitly observed, nor have methods been developed to prepare them. Here we report controlled, catalytic growth of metal–semiconductor junctions between carbon nanotubes and silicon nanowires, and show that these junctions exhibit reproducible rectifying behaviour.

734 citations


Journal ArticleDOI
TL;DR: In this article, the authors discuss the development of a general approach to rational synthesis of crystalline nanowires of arbitrary composition, and illustrate solutions to these challenges with measurements of the atomic structure and electronic properties of carbon nanotubes.
Abstract: Dimensionality plays a critical role in determining the properties of materials due to, for example, the different ways that electrons interact in three-dimensional, twodimensional (2D), and one-dimensional (1D) structures.1-5 The study of dimensionality has a long history in chemistry and physics, although this has been primarily with the prefix “quasi” added to the description of materials; that is, quasi-1D solids, including square-planar platinum chain and metal trichalcogenide compounds,2,6 and quasi2D layered solids, such as metal dichalcogenides and copper oxide superconductors.3-5,7,8 The anisotropy inherent in quasi-1D and -2D systems is central to the unique properties and phases that these materials exhibit, although the small but finite interactions between 1D chains or 2D layers in bulk materials have made it difficult to address the interesting properties expected for the pure low-dimensional systems. Are pure low-dimensional systems interesting and worth pursuing? We believe that the answer to this question is an unqualified yes from the standpoints of both fundamental science and technology. One needs to look no further than past studies of the 2D electron gas in semiconductor heterostructures, which have produced remarkably rich and often unexpected results,9,10 and electron tunneling through 0D quantum dots, which have led to the concepts of the artificial atom and the creation of single electron transistors.11-15 In these cases, lowdimensional systems were realized by creating discrete 2D and 0D nanostructures. 1D nanostructures, such as nanowires and nanotubes, are expected to be at least as interesting and important as 2D and 0D systems.16,17 1D systems are the smallest dimension structures that can be used for efficient transport of electrons and optical excitations, and are thus expected to be critical to the function and integration of nanoscale devices. However, little is known about the nature of, for example, localization that could preclude transport through 1D systems. In addition, 1D systems should exhibit density of states singularities, can have energetically discrete molecularlike states extending over large linear distances, and may show more exotic phenomena, such as the spin-charge separation predicted for a Luttinger liquid.1,2 There are also many applications where 1D nanostructures could be exploited, including nanoelectronics, superstrong and tough composites, functional nanostructured materials, and novel probe microscopy tips.16-29 To address these fascinating fundamental scientific issues and potential applications requires answers to two questions at the heart of condensed matter chemistry and physics research: (1) How can atoms or other building blocks be rationally assembled into structures with nanometer-sized diameters but much longer lengths? (2) What are the intrinsic properties of these quantum wires and how do these properties depend, for example, on diameter and structure? Below we describe investigations from our laboratory directed toward these two general questions. The organization of this Account is as follows. In section II, we discuss the development of a general approach to the rational synthesis of crystalline nanowires of arbitrary composition. In section III, we outline key challenges to probing the intrinsic properties of 1D systems and illustrate solutions to these challenges with measurements of the atomic structure and electronic properties of carbon nanotubes. Last, we discuss future directions and challenges in section IV.

161 citations


Book
01 Jan 1999
TL;DR: Theoretical basis of nanoelectronics and optoelectronic devices based on quantum structures was discussed in this article, where quantum properties of particular quantum structures such as lattice vibrations and parallel transport were discussed.
Abstract: Preface 1. Trends in microelectronics and optoelectronics 2. Theoretical basis of nanoelectronics 3. Electrons in quantum structures 4. Properties of particular quantum structures 5. Lattice vibrations in quantum structures 6. Electron scattering in quantum structures 7. Parallel transport in quantum structures 8. Perpendicular transport in quantum structures 9. Electronic devices based on quantum heterostructures 10. Optics of quantum structures 11. Electro-optics and nonlinear optics 12. Optical devices based on quantum structures.

108 citations


Patent
03 Dec 1999
TL;DR: In this article, an alumina template with branched growth channels is produced after which individual Y-junction carbon nanotubes are grown directly by pyrolysis of acetylene using cobalt catalysis.
Abstract: A method of producing Y-junction carbon nanotubes. An alumina template with branched growth channels is produced after which individual Y-junction carbon nanotubes are grown directly by pyrolysis of acetylene using cobalt catalysis. The use of a branched growth channel allows the natural simultaneous formation of a very large number of individual but well-aligned three-port Y-junction carbon nanotubes with excellent uniformity and control over the length (up to several tens μm) and diameter (15-100 nm) of the “stem” and “branches” separately. These Y-junctions offer the nanoelectronics community a new base material for molecular scale electronic devices including for example transistors and rectifiers.

81 citations


Journal ArticleDOI
TL;DR: In this article, a new atom manipulation technique called atomic-beam holography has been proposed for nanofabrication, which enables direct pattern formation on a substrate by passing laser-cooled atoms through a computer-generated hologram.
Abstract: The device feature size in Si ULSIs has been reduced over the years, and sooner or later we will probably enter the so-called nanoelectronics era. Two nanofabrication technologies, electron-beam lithography and atomic-beam holography, which are expected to play an important role in the coming era, are discussed first. In order to get finer patterns with electron-beam lithography, improvements in the characteristics of organic resists are crucially important. Organic negative resists with a fine resolution have been developed, and a high-quality resist line pattern with a width as small as 7 nm has been successfully formed. A new atom manipulation technique called atomic-beam holography has been proposed for nanofabrication. It enables direct pattern formation on a substrate by passing laser-cooled atoms through a computer-generated hologram. It is expected to be a technique with a fine resolution, reaching the atomic scale, and a high throughput. Nano-size devices are developed from two standpoints. One pursues the miniaturization limit of MOS transistors: in this context, we discuss the fabrication of MOS transistors with gate length down to 14 nm and their electrical characteristics. The other approach is to explore `breakthrough devices' that utilize quantum effects: single electron devices are one type of such devices. We discuss the operation of an all-metallic single-electron memory cell along with the electrical characteristics of a single-electron transistor made of aluminium.

34 citations


Proceedings Article
Chenming Hu1
01 Jan 1999
TL;DR: In this article, the potentials and limitations of the scaling of MOSFETs using both technological and economic considerations are discussed, and a new approach is proposed to predict the potential and the limitations of scaling MOSFLETs.
Abstract: The advancement of device technology and the growth of the electronics market were intertwined in the past and probably will continue to be in the future. This observation suggests a new approach to predicting the potentials and the limitations of the scaling of MOSFETs using both technological and economic considerations. It is proposed that silicon CMOS technology can serve the electronics needs of at least most of the 21st century. This analysis also provides a backdrop for evaluating the need for unconventional devices. One current effort to develop 25 nm MOSFETs is described. There appear to be many opportunities and challenges in finding novel device structures and new processing techniques, and in understanding the physics of future devices.

30 citations


Journal ArticleDOI
Chenming Hu1
TL;DR: In this paper, the potentials and limitations of the scaling of MOSFETs using both technological and economic considerations are discussed, and a new approach is proposed to predict the potential and the limitations of scaling MOSFLETs.
Abstract: The advancement of device technology and the growth of the electronics market were intertwined in the past and probably will continue to be in the future. This observation suggests a new approach to predicting the potentials and the limitations of the scaling of MOSFETs using both technological and economic considerations. It is proposed that silicon CMOS technology can serve the electronics needs of at least most of the 21st century. This analysis also provides a backdrop for evaluating the need for unconventional devices. One current effort to develop 25 nm MOSFETs is described. There appear to be many opportunities and challenges in finding novel device structures and new processing techniques, and in understanding the physics of future devices.

28 citations


Book
14 Jun 1999
TL;DR: In this article, the authors discuss the road map from microelectronics to non-neonoelectronics: road map, challenges, and road map for the future.
Abstract: Partial table of contents: FROM MICROELECTRONICS TO NANOELECTRONICS: ROADMAPS AND CHALLENGES. The 1980's and 1990's Microelectronics Logbook: Guidelines for the Future (D. Bois). The End of Scaling: Disruption from Below (D. Monroe). BEYOND CMOS: SOI, HETEROSTRUCTURES, THIN FILMS. Finite Frequency Shot Noise in Diffusive Wires (Y. Naveh). ALTERNATIVE PATHS TO NANOELECTRONICS: SELF--ORGANIZATION, MOLECULAR ENGINEERING. Quantum Dot Lasers: Experimental Results and Future Trends (N. Ledentsov).. Organic Molecular Modification of Silicon Surfaces (G. Lopinski, et al.). THE MESSAGE IS THE MEDIA: STORAGE MATERIALS AND TECHNOLOGIES. Evolution of Nonvolatile Semiconductor Memory: From Floating--Gate Concept to Single--Electron Memory Cell (S. Sze). ELECTROMAGNETIC SYSTEMS: FROM MICROWAVES TO THE VISIBLE. Electromagnetic Systems Advances (Y. Park & M. Yoder). Polymer Optical Interconnects (L. Eldada). List of Contributors. Index.

25 citations


Book ChapterDOI
01 Jan 1999
TL;DR: In this paper, the importance of dielectric processing for developing Si-based nanoelectronics as well as other semiconductor devices is discussed, with specific emphasis on the application of chemical vapor deposition techniques.
Abstract: Publisher Summary This chapter outlines the importance of dielectric processing for developing Si-based nanoelectronics as well as other semiconductor devices. Silicon-based dielectrics (Si02, Si3N4, SiOxNy) have been used as the key dielectrics in the manufacture of silicon integrated circuits (ICs) as well as many other semiconductor devices. By replacing these Si-based dielectrics by low- (k l) k dielectrics, the fundamental limitations of Si-based dielectrics can be overcome and it is possible to manufacture Si-based ICs with feature size as small as 25–30 nm. The chapter discusses important roles of rapid photothermal processing (RPP) of dielectrics in the development of microelectronics and other semiconductor devices for the 21st century . The fundamentals of rapid photothermal processing with specific emphasis on the application of chemical vapor deposition techniques are explored. In addition to the basics of RPP, new results of high-k and low-k dielectric materials are presented. The data presented in this chapter show that because of low processing temperature, low processing time, low microscopic defects, low thermal and residual stress, and low roughness of related surfaces and interfaces, RPP is ideally suited for process integration and manufacturability.

11 citations


Proceedings Article
01 Jan 1999
TL;DR: In this paper, a new atom manipulation technique called atomic-beam holography has been proposed for nanofabrication, which enables direct pattern formation on a substrate by passing laser-cooled atoms through a computer-generated hologram.
Abstract: The device feature size in Si ULSIs has been reduced over the years, and sooner or later we will probably enter the so-called nanoelectronics era. Two nanofabrication technologies, electron-beam lithography and atomic-beam holography, which are expected to play an important role in the coming era, are discussed first. In order to get finer patterns with electron-beam lithography, improvements in the characteristics of organic resists are crucially important. Organic negative resists with a fine resolution have been developed, and a high-quality resist line pattern with a width as small as 7 nm has been successfully formed. A new atom manipulation technique called atomic-beam holography has been proposed for nanofabrication. It enables direct pattern formation on a substrate by passing laser-cooled atoms through a computer-generated hologram. It is expected to be a technique with a fine resolution, reaching the atomic scale, and a high throughput. Nano-size devices are developed from two standpoints. One pursues the miniaturization limit of MOS transistors: in this context, we discuss the fabrication of MOS transistors with gate length down to 14 nm and their electrical characteristics. The other approach is to explore `breakthrough devices' that utilize quantum effects: single electron devices are one type of such devices. We discuss the operation of an all-metallic single-electron memory cell along with the electrical characteristics of a single-electron transistor made of aluminium.

Journal ArticleDOI
TL;DR: In this paper, a comparative study of nucleation and growth of Si quantum dots on SiO2, SiOxNy and Si3N4 substrates using silane Low Pressure Chemical Vapor Deposition at low temperature (570-610°C).
Abstract: We present the first comparative study of nucleation and growth of Si quantum dots on SiO2, SiOxNy and Si3N4 substrates using silane Low Pressure Chemical Vapor Deposition at low temperature (570–610°C). The samples are investigated by Atomic Force Micoscopy, Scanning Electron Microscopy, High Resolution Transmission Electron Microscopy and Spectroscopic Ellipsometry. We confirm that the chemical nature of the surface and precisely the presence of SiO bonds decreases the Si quantum dot density. By optimising the deposition parameters, a Si dot density of 1012 cm−2 can be obtained below 600°C on a pure Si3N4 surface. The influence of hydrogen, provided by silane decomposition, on the Si nucleation mechanism will be discussed.

Proceedings ArticleDOI
19 Jul 1999
TL;DR: The genetic algorithm is found to drive the NEMO simulation parameters close to the experimentally prescribed layer thicknesses and doping profiles, and a quantitative agreement between theory and experiment design synthesis can be performed.
Abstract: The quantum mechanical functionality of nanoelectronic devices such as resonant tunneling diodes (RTDs), quantum well infrared photodetectors (QWIPs), quantum well lasers, and heterostructure field effect transistors (HFETs) is enabled by material variations on an atomic scale. The design and optimization of such devices requires a fundamental understanding of electron transport in such dimensions. The nanoelectronic modeling tool (NEMO) is a general-purpose quantum device design and analysis tool based on a fundamental non-equilibrium electron transport theory. NEMO was combined with a parallelized genetic algorithm package (PGAPACK) to evolve structural and material parameters to match a desired set of experimental data. A numerical experiment that evolves structural variations such as layer widths and doping concentrations is performed to analyze an experimental current voltage characteristic. The genetic algorithm is found to drive the NEMO simulation parameters close to the experimentally prescribed layer thicknesses and doping profiles. With such a quantitative agreement between theory and experiment design synthesis can be performed.

Journal ArticleDOI
Marin Alexe1
TL;DR: In this article, the thicknesses of some transistor layers are already approaching their fundamental limits, and they use the same combination of materials-silicon as the semiconductor and silicon dioxide as the dielectric.
Abstract: The electronics industry has relied on silicon technology for almost 40 years. Transistors have become smaller and faster, but they continue to use the same combination of materials-silicon as the semiconductor and silicon dioxide as the dielectric. However, the thicknesses of some transistor layers are already approaching their fundamental limits.

Proceedings ArticleDOI
23 Jun 1999
TL;DR: In this article, the room temperature operation of single electron switches based on Coulomb blockade in a dual-gate MOSFET by further miniaturization of an electrical quantum dot is reported.
Abstract: Single electron switch based on Coulomb blockade has recently attracted much attention as a candidate for the nanoelectronic device. Moreover, its application to the digital circuit has already been proposed as the SETL (Single Electron Transistor Logic). In this paper, we report the room temperature operation of single electron switches based on Coulomb blockade in a dual-gate MOSFET by further miniaturization of an electrical quantum dot.

Journal ArticleDOI
TL;DR: In this paper, an electron-spectroscopic analysis is made of layered nanostructures and clusters at the surface and in the bulk of a solid, and it is shown that their electronic properties can be controllably varied in situ by acting on the surface.
Abstract: An electron-spectroscopic analysis is made of layered nanostructures and clusters at the surface and in the bulk of a solid. A new method of forming metal/insulator/semiconductor (superconductor) nanostructures is proposed based on ion-stimulated metal segregation effects at the surface of low-temperature gallium arsenide and a 123 high-temperature superconductor. The geometric parameters and electronic structure of these nano-objects are studied. It is shown that their electronic properties can be controllably varied in situ by acting on the surface. The dimensional transformation of the electronic properties of metal clusters is studied for clusters in the insulator SiO2, in the superconductor LTMBE-GaAs, and on silicon and graphite surfaces. The nature of this transformation is clarified. A diagnostics for cluster ensembles is developed by which one can determine the parameters needed to describe singleelectron transport: the average number of atoms per cluster, the average distance between clusters and isolated atoms, and the chemical state of the atoms. Ensembles of silver clusters with specified parameters are obtained on a silicon surface. It is shown that these ensembles are potentially useful for developing single-electron devices.