scispace - formally typeset
Search or ask a question

Showing papers on "Pass transistor logic published in 2000"


Journal ArticleDOI
TL;DR: In this article, a super cut-off CMOS (SCCMOS) scheme is proposed and demonstrated by measurement to achieve high-speed and low stand-by current CMOS VLSIs in sub-1-V supply voltage regime.
Abstract: A super cut-off CMOS (SCCMOS) scheme is proposed and demonstrated by measurement to achieve high-speed and low stand-by current CMOS VLSIs in sub-1-V supply voltage regime. By overdriving the gate of a cut-off MOSFET, the SCCMOS suppresses leakage current below 1 pA per logic gate in a stand-by mode while high-speed operation in an active mode is possible with low-threshold voltage of 0.1-0.2 V. The SCCMOS pushes the low-voltage operation limit 0.2 V further down compared with conventional schemes while maintaining the same stand-by current level.

240 citations


Journal ArticleDOI
TL;DR: Various design considerations of these schemes are presented along with simulation results of proposed circuits and rapidly reconfigurable variations that have applications in high performance and adaptive computing are presented.
Abstract: Programmable logic functions may be realized with giant-magnetoresistance or spin-dependent tunneling devices in conjunction with relatively simple circuitry. These functions may be implemented as conventional programmed logic arrays using the magnetic devices as nonvolatile programming elements or as arrays of universal logic gates whereby the core logic functions are magnetically programmed. Both methodologies are described in this article along with rapidly reconfigurable variations that have applications in high performance and adaptive computing. Various design considerations of these schemes are presented along with simulation results of proposed circuits. Among the potential advantages of these magnetic logic devices are nonvolatility of both programming information and data and the ability to reconfigure an entire logic array into any one of a number of configurations in a single clock cycle.

186 citations


Journal ArticleDOI
TL;DR: CAL is a dual-rail logic that operates from a single-phase AC power-clock supply that makes it possible to integrate all power control circuitry on the chip, resulting in better system efficiency, lower cost, and simpler power distribution.
Abstract: The design and experimental evaluation of a clocked adiabatic logic (GAL) is described in this paper. CAL is a dual-rail logic that operates from a single-phase AC power-clock supply. This new low-energy logic makes it possible to integrate all power control circuitry on the chip, resulting in better system efficiency, lower cost, and simpler power distribution. CAL can also be operated from a DC power supply in a nonenergy-recovery mode compatible with standard CMOS logic. In the adiabatic mode, the power-clock supply waveform is generated using an on-chip switching transistor and a small external inductor between the chip and a low-voltage DC supply. Circuit operation and performance are evaluated using a chain of inverters realized in a 1.2 /spl mu/m CMOS technology. Experimental results show that energy savings are achieved at clock frequencies up to about 40 MHz as compared to the nonadiabatic mode. Since CAL can operate both in adiabatic and nonadiabatic modes, power management strategies may be based upon switching between modes when necessary.

182 citations


Patent
17 Nov 2000
TL;DR: A characterization vehicle includes at least one combinatorial logic circuit element, and a control circuit (102) that controls the combinatorsial logic circuits element as discussed by the authors. But the control circuit is not considered in this paper.
Abstract: A characterization vehicle includes at least one combinatorial logic circuit element, and a control circuit (102) that controls the combinatorial logic circuit element The control circuit includes an input mechanism (103) for inputting a test pattern of signals into the combinatorial logic circuit element An output mechanism (104) stores an output pattern that is output by the combinatorial logic circuit element based on the test pattern

171 citations


Patent
01 Dec 2000
TL;DR: In this paper, a logic circuit capable of suppressing occurrence of wraparound of signals, capable of reducing power consumption, and in addition achieving a reduction of a circuit scale and an improvement of an operating speed and a full adder using the same, is presented.
Abstract: A logic circuit capable of suppressing occurrence of wraparound of signals, capable of reducing power consumption, and in addition achieving a reduction of a circuit scale and an improvement of an operating speed and a full adder using the same, wherein provision is made of an exclusive-OR generation circuit 12 for receiving a first logic signal A and a second logic signal B taking a logic “1” or “0” and generating the exclusive-OR of the first logic signal A and the second logic signal B, a dual signal generation circuit 11 for receiving the first logic signal A and the second logic signal B and generating the dual signal of the exclusive-OR of the first logic signal A and the second logic signal B, and an interpolation circuit 13 for compulsorily setting the output level of the dual signal at the level of the logic “1” when the output level of the exclusive-OR is the logic “0”, while compulsorily setting the output level of the exclusive-OR at the level of the logic “0” when the output level of the dual signal is the logic “1”.

152 citations


Patent
26 Sep 2000
TL;DR: In this paper, a programmable memory address decode array with vertical transistors having single or split control lines is used to select only functional lines in a memory array, where the decoder is programmed at memory test to select an output line responsive to the bits received via the address input lines.
Abstract: A programmable memory address decode array with vertical transistors having single or split control lines is used to select only functional lines in a memory array. The transistor is a field-effect transistor (FET) having an electrically isolated (floating) gate that controls electrical conduction between source regions and drain regions. If a particular floating gate is charged with stored electrons, then the transistor will not turn on and will act as the absence of a transistor at this location in a logic array within the decoder. The decoder is programmed at memory test to select an output line responsive to the bits received via the address input lines. A logic array includes densely packed logic cells, each logic cell having a semiconductor pillar providing shared source and drain regions for two vertical floating gate transistors that have individual floating gates and control lines distributed on opposing sides of the pillar. The control lines are formed together with interconnecting address input lines. The source regions share a common ground while the drain regions are connected to the output lines. Both bulk semiconductor and silicon-on-insulator embodiments are provided. If a floating gate transistor is used to represent a logic function, an area of only 2F2 is needed per bit of logic, where F is the minimum lithographic feature size.

150 citations


Journal ArticleDOI
TL;DR: The design of clocked MOBILE-type threshold logic gates and their application to arithmetic circuit components is investigated and a novel pipelined carry lookahead addition scheme for this logic family is proposed to improve the speed.
Abstract: Resonant tunneling devices and circuit architectures based on monostable-bistable transition logic elements (MOBILEs) are promising candidates for future nanoscale integration. In this paper, the design of clocked MOBILE-type threshold logic gates and their application to arithmetic circuit components is investigated. The gates are composed of monolithically integrated resonant tunneling diodes and heterostructure field-effect transistors. Experimental results are presented for a programmable NAND/NOR gate. Design related aspects such as the impact of lateral device scaling on the circuit performance and a bit-level pipelined operation using a four phase clocking scheme are discussed. The increased computational functionality of threshold logic gates is exploited in two full adder designs having a minimal logic depth of two circuit stages. Due to the self-latching behavior the adder designs are ideally suited for an application in a bit-level pipelined ripple carry adder. To improve the speed a novel pipelined carry lookahead addition scheme for this logic family is proposed.

138 citations


Journal ArticleDOI
TL;DR: In this paper, a new cell design technique is described which may be used to create SEU hardened circuits using actively biased, isolated well transistors to prevent transients in combinational logic from reaching the output node.
Abstract: A new cell design technique is described which may be used to create SEU hardened circuits. The technique uses actively biased, isolated well transistors to prevent transients in combinational logic from reaching the output node.

122 citations


Proceedings ArticleDOI
13 Jul 2000
TL;DR: The paper shows that very efficient digital circuits can be obtained by evolving from the conventional designs and the optimal size of the target circuits is studied by measuring the length of the neutral walks from the obtained designs.
Abstract: This paper introduces a new methodology of evolving electronic circuits by which the process of evolutionary design is guaranteed to produce a functionally correct solution. The method employs a mapping to represent an electronic circuit on an array of logic cells that is further encoded within a genotype. The mapping is many-to-one and thus there are many genotypes that have equal fitness values. Genotypes with equal fitness values define subgraphs in the resulting fitness landscapes referred to as neutral networks. This is further used in the design of a neutral network that connects the conventional with other more efficient designs. To explore such a network a navigation strategy is defined by which the space of all functionally correct circuits can be explored. The paper shows that very efficient digital circuits can be obtained by evolving from the conventional designs. Results for several binary multiplier circuits such as the three and four-bit multipliers are reported. The evolved solution for the three-bit multiplier consists of 23 two-input logic gates that in terms of number of two-input gates used is 23.3% more efficient than the most efficient known conventional design. The logic operators required to implement this circuit are 14 ANDs, 9 XORs, and 2 inversions (NOT). The evolved four-bit multiplier consists of 57 two-input logic gates that is 10.9% more efficient (in terms of number of two-input gates used) than the most efficient known conventional design. The optimal size of the target circuits is also studied by measuring the length of the neutral walks from the obtained designs.

118 citations


Patent
28 Jul 2000
TL;DR: In this paper, three schemes of nonvolatile FPLD structures are proposed using a latch that has been disclosed herein, which can be designed using either GMR or SDT devices, and can work as interconnects in a conventional Programmable Logic Array (PLA).
Abstract: In this invention, three schemes of nonvolatile FPLD structures are proposed using a latch that has been disclosed herein. In the first proposed scheme the latches, which can be designed using either GMR or SDT devices, will work as interconnects in a conventional Programmable Logic Array (PLA). In the second proposed scheme, the latches will constitute the look-up table for a standard PLA. In the third proposed scheme, the latch itself will work as a nonvolatile Programmable Logic Device (PLD) structure. This FPLD latch will have 2n GMR or SDT resistors, instead of just 2, for an n-input logic gate. By programming the resistors differently, in each scheme, numerous different logic functions from the same logic gate can be achieved.

100 citations


Patent
23 Oct 2000
TL;DR: In this article, a hardware emulation system is described, which reduces hardware cost by time-multiplexing multiple design signals onto physical logic chip pins and printed circuit board, and a method for dynamically testing the interconnect between integrated circuits is also disclosed.
Abstract: A hardware emulation system is disclosed which reduces hardware cost by time-multiplexing multiple design signals onto physical logic chip pins and printed circuit board. The reconfigurable logic system of the present invention comprises a plurality of reprogrammable logic devices, and a plurality of reprogrammable interconnect devices. The logic devices and interconnect devices are interconnected together such that multiple design signals share common input/output pins and circuit board traces. A logic analyzer for a hardware emulation system is also disclosed. The logic circuits necessary for executing logic analyzer functions is programmed into the programmable resources in the logic chips of the emulation system. A method for dynamically testing the interconnect between integrated circuits is also disclosed.

Journal ArticleDOI
TL;DR: In this article, a static CMOS critical-path delay distribution is calculated from rigorously derived device and circuit models that enable projections for future technology generations, and two possible options are explored to attain a desired yield: (1) reduce performance by operating at a lower clock frequency; and (2) increase the supply voltage and, consequently, power dissipation, to satisfy the nominal critical path delay.
Abstract: The yield of CMOS logic circuits satisfying a specific high performance requirement is demonstrated to be significantly influenced by the magnitude of critical-path delay deviations due to both extrinsic and intrinsic parameter fluctuations. To evaluate the impact of these parameter fluctuations, a static CMOS critical-path delay distribution is calculated from rigorously derived device and circuit models that enable projections for future technology generations. Two possible options are explored to attain a desired yield: (1) reduce performance by operating at a lower clock frequency; and (2) increase the supply voltage and, consequently, power dissipation, to satisfy the nominal critical-path delay. For the 50-nm technology generation, the delay and power dissipation increases are 12%-29% and 22%-6%, respectively, for extrinsic parameter standard deviations ranging from (a) 5% for effective channel length and 0% for gate oxide thickness and channel doping concentration to (b) 10% for effective channel length and 5% for gate oxide thickness and channel doping concentration. Combining both extrinsic and intrinsic fluctuations, the delay and power dissipation increase to 18%-32% and 31%-53%, respectively, thus demonstrating the significance of including the random dopant placement effect in future CMOS logic designs.

Patent
05 Oct 2000
TL;DR: In this paper, a charge-redistribution low-swing differential logic circuit combining a differential logic network and a charge redistribution circuit is proposed to provide complementary signals having only a small difference, thereby avoiding a time delay.
Abstract: A charge-redistribution low-swing differential logic circuit combining a differential logic network and a charge-redistribution circuit so as to provide a pair of complementary signals having only a small difference, thereby avoiding a time delay. Further, after a sense amplifier is used to amplify the signals, the resulting signals are outputted to sequential differential logic network, wherein the output swing can be reduced by a threshold voltage Vtn (Vtp) on a transistor. In addition, a pipeline is formed by the series connection structure controlled by a true-single-phase clock or by pseudo-single-phase clock, thereby achieving a designed circuit having high-speed and low power dissipation.

Proceedings ArticleDOI
08 Aug 2000
TL;DR: In this paper, a novel CMOS 4-2 compressor using pass logic is presented in which an XOR-XNOR combination gate is used to build the circuit while totally eliminating the use of inverters.
Abstract: A novel CMOS 4-2 compressor using pass logic is presented in this paper. An XOR-XNOR combination gate is used to build the circuit while totally eliminating the use of inverters. The total power dissipation has been cut down to a minimum while providing the full output voltage swing at all nodes in the circuit. Furthermore, the complete circuit is implemented with a bare minimum of 28 transistors.

Patent
02 Mar 2000
TL;DR: In this paper, a programmable logic device is configured to accommodate multiplication by the provision in each logic region of specialized components to form and sum partial products, and their presence imposes little penalty on the performance of ordinary logic functions.
Abstract: A programmable logic device is configured to accommodate multiplication by the provision in each logic region of specialized components to form and sum partial products. The specialized components are separate from the ordinary logic of the logic region, and their presence imposes little penalty on the performance of ordinary logic functions, while enhancing the speed at which multiplication is performed by minimizing the number of logic regions used for a particular multiplication operation, and also minimizing the use of the interconnection resources of the device to convey signals among those regions.

Book ChapterDOI
27 Aug 2000
TL;DR: An innovative architecture for a reconfigurable device that allows single cycle context switching and single cycle random access to the unified on-chip configuration/data memory and how self-reconfiguration can be used to do basic routing operations in a few clock cycles is illustrated.
Abstract: This paper presents an innovative architecture for a reconfigurable device that allows single cycle context switching and single cycle random access to the unified on-chip configuration/data memory. These two features are necessary for efficient self-reconfiguration and are useful in general as well--no other device offers both features. The enhanced context switching feature permits arbitrary regions of the chip to selectively context switch--its not necessary for the whole device to do so. The memory access feature allows data transfer between logic cells and memory locations, and also directly between memory locations. The key innovation enabling the above features is the use of a mesh of trees based interconnect with logic cells and memory blocks at the leaf nodes and identical switches at other nodes. The mesh of trees topology allows a logic cell to be associated with a pair of switches. The logic cell and the switches can be placed close to the memory block that stores their configuration bits. The physical proximity enables fast context switching while the mesh of trees topology permits fast memory access. To evaluate the architecture, a point design with 8 × 8 logic cells was synthesized using a standard cell library for a 0.25 µm process with 5 metal layers. Timing results obtained show that both context switching and memory access can be performed within a 10 ns clock cycle. Finally, this paper also illustrates how self-reconfiguration can be used to do basic routing operations of connecting two logic cells or inserting a logic cell by breaking an existing connection--algorithms (implemented as configured logic) to perform the above operations in a few clock cycles are presented.

Journal ArticleDOI
TL;DR: A power efficient trace-back scheme, allowing higher memory read access rate than memory write in a time-multiplexing method, is implemented to reduce the number of iterations required to generate a decoded output.
Abstract: This paper presents a low-power bit-serial Viterbi decoder chip with the code rate r=1/3 and the constraint length K=9 (256 states) for next generation wireless communication applications. The architecture of the add-compare-select (ACS) module is based on the bit-serial arithmetic and implemented with the pass transistor logic circuit. A cluster-based ACS placement and state metric routing topology is described for the 256 bit-serial ACS units, which achieves very high area efficiency. In the trace-back operation, a power efficient trace-back scheme, allowing higher memory read access rate than memory write in a time-multiplexing method, is implemented to reduce the number of iterations required to generate a decoded output. In addition, a low-power application-specific memory suitable for the function of survivor path memory has also been developed. The chip's core, implemented using 0.5-/spl mu/m CMOS technology, contains approximately 200 K transistors and occupies 2.46 mm by 4.17 mm area. This chip can achieve the decode rate of 20 Mb/s under 3.3 V and 2 Mb/s under 1.8 V. The measured power dissipation at 2 Mb/s under 1.8 V is only about 9.8 mW. The Viterbi decoder presented here can be applied to next generation wide-band code division multiple access (W-CDMA) systems.

Proceedings ArticleDOI
01 Aug 2000
TL;DR: In this paper, the authors proposed the use of sub-DTMOS (sub-threshold Dynamic Threshold MOS) transistors to improve the switching performance of the sub-THL logic family with comparable energy/switching.
Abstract: Digital sub-threshold logic circuits have recently been proposed for applications in the ultra-low power end of the design spectrum, where the performance is of secondary importance. To improve switching performance of the sub-threshold logic family with comparable energy/switching, we propose the use of sub-DTMOS (sub-threshold Dynamic Threshold MOS) transistors. The stability of sub-threshold DTMOS logic to temperature and process variations eliminates the need of additional stabilization scheme that may be required for regular sub-threshold MOS logic families to ensure proper operation in the sub-threshold region.

Proceedings ArticleDOI
Tong Liu1, Shih-Lien Lu1
01 Dec 2000
TL;DR: This paper proposes a method called approximation to reduce the logic delay of a pipe-stage to provide some performance improvement for a wide-issue superscalar if these stages are finely pipelined.
Abstract: Current superscalar microprocessors' performance depends on its frequency and the number of useful instructions that can be processed per cycle (IPC). In this paper we propose a method called approximation to reduce the logic delay of a pipe-stage. The basic idea of approximation is to implement the logic function partially instead of fully. Most of the time the partial implementation gives the correct result as if the function is implemented fully but with fewer gates delay allowing a higher pipeline frequency. We apply this method on three logic blocks. Simulation results show that this method provides some performance improvement for a wide-issue superscalar if these stages are finely pipelined.

Journal ArticleDOI
TL;DR: The design of two high-performance priority encoders is presented, and the best new design achieves 65% speed improvement, 20% layout area reduction, and 30% power reduction simultaneously as compared to the conventional design with a simple look-ahead structure.
Abstract: The design of two high-performance priority encoders is presented. The key techniques for high speed are twofold. First, a multilevel look-ahead structure is developed to shorten the critical path effectively. Second, this look-ahead structure is realized efficiently by the NP Domino CMOS logic, and all the dynamic gates have a parallel-connected circuit structure. For high speed and low power at the same time, the series-connected circuit structure is adopted in the less critical paths to reduce the switching activity, but such a design needs to cascade two n-type dynamic gates directly resulting in the race problem. A special circuit technique is utilized to rescue this problem. Several 32-bit priority encoders are designed to evaluate the feasibility of the proposed techniques. The best new design realizes a three-level look-ahead structure, and it achieves 65% speed improvement, 20% layout area reduction, and 30% power reduction simultaneously as compared to the conventional design with a simple look-ahead structure.

Patent
Kerry Bernstein1, Norman J. Rohrer1
23 Mar 2000
TL;DR: In this paper, a DTCMOS circuit with a lower threshold voltage threshold was proposed, with the attendant benefits of a lower supply made possible by the lowered voltage threshold each of the transistors without sacrificing leakage current.
Abstract: A DTCMOS circuit produces an output based on a logical combination of input logic signals. The circuit includes input transistors which receive on a respective gate a respective logic signal. The transistors have a body contact which is connected to the gate of another transistor. Transistors which are receiving later arriving logic signals therefore have a threshold voltage lowered by an earlier arriving logic signal. By coupling the earlier arriving logic signal with a body contact of another input transistor, the threshold voltage may be lowered prior to processing of the subsequently arriving logic signal. The DTCMOS circuit may be implemented in SOI with the attendant benefits of a lower supply made possible by the lowered voltage threshold each of the transistors without sacrificing leakage current inherent in DTCMOS circuits.

Patent
21 Jul 2000
TL;DR: A programmable logic array device has a plurality of logic regions and conductors for conveying signals between the logic regions as mentioned in this paper, where conductors of several different lengths are provided so that most connections between logic regions can be made using conductors which are close to the length required and not wastefully much longer than that length.
Abstract: A programmable logic array device has a plurality of logic regions and conductors for conveying signals between the logic regions. Conductors of several different lengths are provided so that most connections between logic regions can be made using conductors which are close to the length required and not wastefully much longer than that length.

Journal ArticleDOI
01 Dec 2000
TL;DR: The method is applied to generation of basic two-input and three-input logic gates in CPL, DPL and DVL, but it is general and can be expanded to synthesis of a random pass-transistor circuit.
Abstract: A new pass-transistor circuit synthesis method is presented in this paper. Several pass-transistor logic families were introduced recently, but no systematic synthesis method is available that takes into account impact of signal arrangement on circuit performance. The method is applied to generation of basic two-input and three-input logic gates in CPL, DPL and DVL, but it is general and can be expanded to synthesis of a random pass-transistor circuit.

Patent
Kazutami Arimoto1
11 Sep 2000
TL;DR: In this article, a memory-embedded LSI capable of reducing current consumption in a standby state is provided, where data held in a logic circuit is saved to a memory circuit under the control of a transfer control circuit, and thereafter supply of an operation power supply voltage to the logic circuit from a logic power source is stopped.
Abstract: In a sleep mode, data held in a logic circuit is saved to a memory circuit under the control of a transfer control circuit, and thereafter supply of an operation power supply voltage to the logic circuit from a logic power source is stopped. A memory-embedded LSI capable of reducing current consumption in a standby state is provided.

Patent
18 Dec 2000
TL;DR: In this article, a power management control logic (114) is used to control the media access controller (100) in the first mode to conserve power by stopping operation of substantial portions of both the receive and transmit logic circuits.
Abstract: A media access controller (100) having a power-saving feature. The controller (100) comprises a receive logic circuit for receiving incoming data from a physical interface device (104) and processing the incoming data for transmission to a frame processor (102), and a transmit logic circuit for receiving outgoing data of the frame processor (102) and processing the outgoing data for transmission to the physical interface device (104). A power management control logic (114) operatively connects to each of the receive logic circuit and the transmit logic circuit to control the receive logic circuit and the transmit logic circuit in a first mode or a second mode. The power management control logic (114) controls the media access controller (100) in the first mode to conserve power by stopping operation of substantial portions of both the receive and transmit logic circuits, and in the second mode, which is a full power mode, by running both the receive and transmit logic circuits.

Patent
29 Dec 2000
TL;DR: In this article, a power supply coupled to a control logic, the power supply including a power_good output signal and Power output lines, is presented, and the control logic includes means to control the sequential power-on of the processors so as to reduce the current sourcing requirements of power supply and eliminate power supply surges.
Abstract: A computer system includes a power supply coupled to a control logic, the power supply including a power_good output signal and Power output lines. The power_good signal notifies the control logic when the Power output lines have stabilized. The computer system also includes a plurality of voltage regulator modules (“VRM”) coupled to the control logic, wherein each VRM receives a power good signal from the control logic. A plurality of processors is also present in the computer system, each of the processors coupled to a VRM. Each of the VRMs transmits voltage to a processor to power-on the processor. Each VRM also transmits to its processor and to the control logic a voltage regulator module power good (“VRMP_G”) signal. The control logic includes means to control the sequential power-on of the processors so as to reduce the current sourcing requirements of the power supply and eliminate power supply surges.

Patent
02 Oct 2000
TL;DR: In this paper, a comparator function is performed by incorporating a selected transistor of each CAM cell latch into a signal path extending between a match line and a second (e.g., charge or discharge) line.
Abstract: A CAM cell array is disclosed in which a comparator function is performed by incorporating a selected transistor of each CAM cell latch into a signal path extending between a match line and a second (e.g., charge or discharge) line. A first terminal of the selected transistor is connected to the match line (or the second line), a second terminal is connected to an internal node of the latch, and a gate terminal of the selected transistor is controlled by the data value stored in the latch. The internal node of the latch is connected through a control transistor having a gate terminal connected to receive an applied data value. When the applied data value is equal to the stored data value, the match line is coupled to the second line along a signal path passing through the selected transistor and the pass transistor. During programming (i.e., when data values are written to the latch), the match line (or second line) carries a low/high voltage signal needed to set (flip) the latch into a desired state.

Journal ArticleDOI
Changsik Yoo1
TL;DR: The HSPICE simulation results verified the operation of the proposed buffer and showed the power-delay product is about 15% smaller than conventional tapered CMOS buffer.
Abstract: A new CMOS buffer without short-circuit power consumption is proposed. The gate-driving signal of the output pull-up (pull-down) transistor is fed back to the output pull-down (pull-up) transistor to get tri-state output momentarily, eliminating the short-circuit power consumption, The HSPICE simulation results verified the operation of the proposed buffer and showed the power-delay product is about 15% smaller than conventional tapered CMOS buffer.

Journal ArticleDOI
TL;DR: In this article, the authors proposed a high-performance DRAM array and logic architecture for a sub-1.2-V embedded silicon-on-insulator (SOI) DRAM.
Abstract: This paper presents the high-performance DRAM array and logic architecture for a sub-1.2-V embedded silicon-on-insulator (SOI) DRAM. The degradation of the transistor performance caused by boosted wordline voltage level is distinctly apparent in the low voltage range. In our proposed stressless SOI DRAM array, the applied electric field to the gate oxide of the memory-cell transistor can he relaxed. The crucial problem that the gate oxide of the embedded-DRAM process must be thicker than that of the logic process can be solved. As a result, the performance degradation of the logic transistor can be avoided without forming the gate oxides of the memory-cell array and the logic circuits individually. In addition, the data retention characteristics can be improved. Secondly, we propose the body-bias-controlled SOI-circuit architecture which enhances the performance of the logic circuit at sub-1.2-V power supply voltage, Experimental results verify that the proposed circuit architecture has the potential to reduce the gate-delay time up to 30% compared to the conventional one. This proposed architecture could provide high performance in the low-voltage embedded SOI DRAM.

Journal ArticleDOI
TL;DR: In this paper, an adiabatic dynamic CMOS logic (ADCL) circuit for superlow power consumption is proposed and the effectiveness of the circuit is proven by circuit analysis, computer simulation, and experiments using discrete devices.
Abstract: In this study, an adiabatic dynamic CMOS logic (ADCL) circuit for superlow power consumption is proposed and the effectiveness of the circuit is proven by circuit analysis, computer simulation, and experiments using discrete devices. The mutual interconnect between the logic circuits is as easy as that of CMOS circuits and the power consumption of the logic circuit is two orders of magnitude lower than that of a CMOS circuit. When the inverter circuit with FETs with W/L = 10 μ/1.5 μ and a load capacitance of 0.1 pF is operated using a triangle wave with a clock frequency of 1 MHz and amplitude of 5 V, the power consumption is 0.39 pJ. On the other hand, in a CMOS inverter operated under the above conditions, the power consumption is 23 pJ. © 2000 Scripta Technica, Electron Comm Jpn Pt 2, 83(5): 50–58, 2000