scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 1991"


Journal ArticleDOI
TL;DR: In this paper, phase-shifting masks and imaging characteristics are discussed and compared with those of conventional transmission masks and a halftone phase shifting mask is suggested for printing isolated patterns and it gives wider focus latitude than conventional mask technology.
Abstract: Phase-shifting masks and imaging characteristics are discussed and compared with those of conventional transmission masks. Then, new phase-shifting masks with intermediate values of phase shifting or transmittance are proposed, and their imaging characteristics are investigated. A phase-shifting mask with a 90° phase difference can ease the restrictions on pattern geometries used in phase-shifting technology but does not increase the focus latitude. It is also suggested that a halftone phase-shifting mask is suitable for printing isolated patterns and it gives wider focus latitude than conventional mask technology.

118 citations


Journal ArticleDOI
TL;DR: In this paper, the authors applied the annular illumination method to the step and repeat exposure system and produced subhalf-micron LSI pattern with subhalfmicron depth of focus and resolution.
Abstract: Photolithography is a leading technique in LSI device fabrication. The LSI pattern size has approached the exposure wavelength such as the g or i-line of a Hg lamp. This fact indicates that the shorter wavelength or some novel technique will be needed in order to cope with finer patterns. It is known that annular illumination can improve the depth of focus and resolution. We applied the annular illumination method to the step and repeat exposure system. Experiments and simulations using annular illumination were carried out and subhalf-micron patterns were produced. The process latitudes of the annular illumination method are evaluated.

87 citations


Proceedings ArticleDOI
01 Jul 1991
TL;DR: In this paper, computer simulations and i-line phase shift lithography experiments with programmed 5X phase shift reticle defects were used to investigate the effect of opaque and phase shift layer defects on sub-half-micron lines.
Abstract: Computer simulations and i-line phase shift lithography experiments with programmed 5X phase shift reticle defects were used to investigate the effect of opaque and phase-shift layer defects on sub-half-micron lines. Both the simulations and the experiments show that defects in the phase shift layer print larger than corresponding opaque defects, with 0.3-0.4 micrometers defects affecting sub-half-micron critical dimensions by more than the allowable 10%. Inspection of programmed phase shift defects with a prototype mask inspection system confirmed that the system finds the 0.3-0.4 micrometers phase shift defects critical to sub-half-micron lithography.

65 citations


Patent
Fourmun Lee1
19 Aug 1991
TL;DR: In this paper, a method for fabricating a phase-shift mask is described, where a mask plate (11, 31) is provided and a semitransparent layer (12, 32) is deposited onto the mask plate.
Abstract: A method is provided for fabricating a phase-shift mask (10, 30). A mask plate (11, 31) is provided. A semitransparent layer (12, 32) is deposited onto the mask plate (11,31). The semitransparent layer (12, 32) is then patterned into a predetermined geometric pattern. The patterning of the semitransparent layer (12, 32) is then continued into the mask plate (11, 31) for a predetermined distance (38), thus providing a phase-shift mask (10, 30).

35 citations


Patent
22 Mar 1991
TL;DR: The use of phase masks for pattern delineation with smaller design rules than previously associated with delineating radiation of given wavelength is the consequence of using phase masks as discussed by the authors, which can be used for feature generation by interference and for reduced intensity of unwanted image hot spots by diffraction.
Abstract: Fabrication of integrated circuits--electronic, photonic or hydrid--permits attainment of higher device density. Pattern delineation with smaller design rules than previously associated with delineating radiation of given wavelength is the consequence of use of phase masks. Compared with earlier used, binary valued phase masks, the multiple values of those on which this fabrication depends permits improved effectiveness in lessening of edge-smearing radiation of consequence (of diffraction-scattered delineating radiation at feature edges). Phase masking may provide, as well, for feature generation by interference, and for reduced intensity of unwanted image hot spots by diffraction.

29 citations


Patent
Akira Tokui1, Tetsuro Hanawa1
01 Nov 1991
TL;DR: In this article, an apparatus for inspecting a phase shift mask includes a light source for irradiating a pattern of a phase-shift mask including a light shield member and a phase member, a phase difference detector for generating from light transmitted through the phase shifts mask a phase signal including the phase difference created by the phase member.
Abstract: An apparatus for inspecting a phase shift mask includes a light source for irradiating a pattern of a phase shift mask including a light shield member and a phase member, a phase difference detector for generating from light transmitted through the phase shift mask a phase signal including the phase difference created by the phase member, a reference signal generator for generating a reference signal, and a calculation section for detecting a defect in the phase member of the phase shift mask by comparing the phase signal with the reference signal. The reference signal may be generated from a reference mask having the same pattern as that of the phase shift pattern or from CAD data for the formation of the pattern of the phase shift mask.

16 citations


Patent
30 May 1991
TL;DR: In this article, the phase shift mask is formed by setting a phase shift quantity at 90 degrees and the parts where phase shift parts 11, 11 on both surfaces overlap constitute 180 degrees.
Abstract: PURPOSE:To produce the phase shift mask which is embodied as both negative type and positive type masks, is easily formable and is produced without generation problems, such as degradation in transmittance and adhesion property, by providing both of one surface and the other surface of a transparent substrate with phase shift parts for shifting the phases of transmitted light and superposing a part of the phase shift parts of the one surface on a part of the phase shift parts of the other surface. CONSTITUTION:The one surface 1a (front surface) of the transparent substrate is provided with the phase shift parts 11 for shifting the phases of the transmitted light and the other surface 1b (rear surface) is also provided with the phase shift parts 11 for shifting the phases of the transmitted light. These phase shift parts are so formed that at least a part of the phase shift parts 11 of the one surface 1a overlap on at least a part of the phase shift parts 11 of the other surface 1b. The phase shift parts 11, 11 of the respective surfaces are formed by setting a phase shift quantity at 90 deg. and, therefore, the parts where the phase shift parts 11, 11 on both surfaces overlap constitute 180 deg. phase shift parts 11A. The parts where the phase shift parts 11, 11 of both surfaces do not overlap constitute the 90 deg. phase shift parts 11B.

11 citations


Patent
04 Nov 1991
TL;DR: In this paper, a method for forming a right angle (30) on a chromeless phase shift mask (31) is provided. And a first phase shift element (32) and a second phase-shift element (33) are positioned at a ninety degree angle, wherein there is a predetermined space (34) between the first and second phase shift elements (32,33).
Abstract: A method is provided for forming a right angle (30) on a chromeless phase-shift mask (31). A first phase-shift element (32) and a second phase-shift element (33) are positioned at a ninety degree angle, on the chromeless phase-shift mask (31), wherein there is a predetermined space (34) between the first and second phase-shift elements (32,33). The space between the phase-shift elements eliminates hot spot formation that causes unintentional exposure of the semiconductor substrate.

10 citations


Patent
30 Sep 1991
TL;DR: In this paper, the phase shift mask is selected such that it provides a phase shift of substantially one-half wavelength of a selected band of the X-rays passed therethrough with respect to the x-rays that are passed through the carrier where there is no phase shift material.
Abstract: Phase shift masks for X-ray lithography include a carrier with a phase shift feature formed thereon having at least one sharply defined sidewall which is upright with respect to the surface of the carrier. The height of the feature on the phase shift mask is selected such that it provides a phase shift of substantially one-half wavelength of a selected band of the X-rays passed therethrough with respect to the X-rays that are passed through the carrier where there is no phase shift material. The phase shift mask is positioned closely above a target composed of a photoresist on a substrate, and X-rays are then passed therethrough, preferably being provided by synchrotron radiation. The collimated X-rays passed through the mask into the photoresist expose those areas of the photoresist away from the upright sidewalls sufficiently to cause those to be removed by developer, whereas the regions under the upright sidewalls have the X-ray intensity canceled by diffraction effects such that that the region under the sidewall is left in place on the substrate after developing. Very thin walled structures, in the range of 50 nanometers, can be formed in this manner by X-ray lithography.

10 citations


Proceedings ArticleDOI
Tsuneo Terasawa1, Norio Hasegawa1, Akira Imai1, Toshihiko P. Tanaka1, Souichi Katagiri1 
01 Jul 1991
TL;DR: In this paper, a variable phase shift mask for optical lithography is proposed, which gives several kinds of optical phase shifting to light transmitted through the mask apertures, and image quality obtained with this mask is investigated.
Abstract: A variable phase-shift mask for optical lithography, which gives several kinds of optical phase shifting to light transmitted through the mask apertures, is proposed, and image quality obtained with this mask is investigated. Clear regions with some optical phase shiftings between 0 degree(s) and 180 degree(s) are inserted between the two connected apertures, which are 180 degree(s) out of phase. The role of these inserted regions is to decrease the dip in intensity distribution, which is occasionally needed for producing complicated features. The advantages of this variable phase-shift mask and the effect of wave-front aberrations on imaging characteristics are investigated.

9 citations


Patent
21 Aug 1991
TL;DR: In this paper, the phase shift films are provided along the entire periphery of at least the outer edges of the light shielding parts 10a to 10c to prevent the deterioration in the light intensity distribution in the outer edge parts of phase shift film.
Abstract: PURPOSE:To prevent the deterioration in the light intensity distribution in the outer edge parts of phase shift films and to eliminate the generation of unnecessary patterns and to easily form isolated patterns by providing the phase shift films which shift the phase of exposing light along the entire periphery of the outer edges of light shielding parts. CONSTITUTION:The phase shift films 11a to 11c are formed to the light shielding parts 10a to 10c forming the phase shift films along the outside edges thereof. However, the phase shift films 11a to 11c are merely required to be provided along the entire periphery of at least the outer edges of the light shielding parts 10a to 10c. The light intensity distribution of a sharp and good contrast is, therefore, obtd. and the problem of the deterioration of the light intensity distribution in the outer peripheral edges which are the breaks of the phase shift films 11a to 11c is solved. Thus, the deterioration in the light intensity distribution in the outer edge parts of the phase shift films is prevented and the inconvenience, such as generation of the unnecessary patterns, is eliminated. In addition, the isolated patterns are easily formed.

Proceedings ArticleDOI
01 Jul 1991
TL;DR: In this paper, phase-shifted patterns (alternating, 90-degree, and chromeless) have been incorporated into a reticle layout, fabricated with a MEBESR III system, and evaluated experimentally at 365 nm using steppers with numerical aperture (NA) ranging from 0.4 to 0.48.
Abstract: Phase-shifted patterns (alternating, 90-degree, and chromeless) have been incorporated into a reticle layout, fabricated with a MEBESR III system, and evaluated experimentally at 365 nm using steppers with numerical aperture (NA) ranging from 0.4 to 0.48 and partial coherence ranging from 0.38 to 0.62. Test circuit layouts simulate actual circuit designs with critical dimensions ranging from 0.2 micrometers to 1.2 micrometers . These results, combined with experimental measurement of layer to layer registration and aerial image simulations, provide a first-order assessment of e-beam lithography requirements to support phase-shift mask technology.

Proceedings ArticleDOI
08 Dec 1991
TL;DR: In this paper, the authors developed a mask pattern design algorithm for phase shift lithography process that produces pattern dimension linearity regardless of the pattern size and does not require any special CAD (computer-aided design) technique.
Abstract: The authors have developed a mask pattern designing algorithm for a phase-shift lithography process. This algorithm produces pattern dimension linearity regardless of the pattern size and does not requires any special CAD (computer-aided design) technique. The authors also developed a mask fabrication technique with self-aligned shifters. One can avoid the alignment problem of chromium and shifter pattern by the self-aligning mask process. >

Proceedings ArticleDOI
01 Jul 1991
TL;DR: In this paper, the authors report on the performance of a scanned laser mask lithography system optimized for printing multilayer phase-shift masks, which leverages the sub-half micron printing performance of the ATEQ CORE-2500 combined with an optical alignment system.
Abstract: Phase-shifted masks (PSMs) promise significant performance benefits for conventional optical lithography. By simultaneously enhancing resolution and depth of focus (DOF), some PSM techniques offer lithography improvements equivalent to more than a 30% reduction of exposure wavelength. Existing wafer exposure equipment can be adapted to PSM use without extensive modification. However, widespread use of PSM technology must await the creation of a PSM infrastructure, including automated generation of PSM patterns, new mask-making materials, and production worthy PSM manufacturing equipment and methods. Modified CAD software, phase layer mask exposure, phase layer deposition, etch, inspection, repair, and other supporting equipment are still in research or development phases. The integration of PSM methodologies and processes to mask and wafer production facilities has not yet begun. In this paper PSM manufacturing and application issues will be examined, with emphasis on PSM reticle printing, PSM reticle requirements and PSM manufacturing alternatives. The authors report on the performance of a scanned laser mask lithography system optimized for printing multilayer phase-shift masks. This system leverages the sub-half micron printing performance of the ATEQ CORE-2500 combined with an optical alignment system. The use of 363.8 nm exposure wavelength offers significant advantages for making PSMs. Chrome alignment marks under dielectric phase and resist layers are accurately and nondestructively acquired with a nonactinic illumination system. The exposure wavelength, near i-line, does not cause or react to dielectric substrate charge. Optimum performance is achieved with common i-line resists which also provide ideal process performance for phase layer deposition and dry etching.

Patent
10 Dec 1991
TL;DR: In this article, a light shielding film is formed on the surface of the mask substrate 3 formed of a transparent material and a mask pattern plotting region 8 for plotting mask patterns is previously assumed on the mask substrata 3 and the marks 1, 2 for the electron beams are formed in the periphery thereof by previously determining the respective positions.
Abstract: PURPOSE: To work a high-quality phase shift mask with high accuracy by previously forming marks for electron beams on a mask substrate and detecting these marks prior to plotting. CONSTITUTION: A light shielding film is formed on the surface of the mask substrate 3 formed of a transparent material. A mask pattern plotting region 8 for plotting mask patterns is previously assumed on the mask substrate 3 and the marks 1, 2 for the electron beams are formed in the periphery thereof by previously determining the respective positions. The position coordinates (x11, y11), (x21, y21) of the marks 1, 2 on the sample stage of an electron beam exposing device inclusive of the loading error to the device of this time are, therefor detected if the mask substrate 3 is loaded into the electron beam exposing device and the mark detection is executed in accordance with the position information of the marks 1, 2. Then, the rotating quantity θ with the plotting coordinate system and the start point of plotting are detected from θ=Arctan ((Y21-Y11)/(X21-X11)) if the marks are previously formed at the plural points. COPYRIGHT: (C)1993,JPO&Japio

Patent
11 Jun 1991
TL;DR: In this paper, a phase shift mask blank was used to provide the method for manufacturing a phase-shift mask in processes fewer than those in the past and an etching stop layer 2, a shifter layer 3, a conducting layer 4 and a shielding layer 5 were provided in sequence from below on a transparent substrate.
Abstract: PURPOSE: To provide the method for manufacturing a phase shift mask in processes fewer than those in the past and a phase shift mask blank used for this method. CONSTITUTION: An etching stop layer 2, a shifter layer 3, a conducting layer 4 and a shielding layer 5 are provided in sequence from below on a transparent substrate 1 to form a phase shift mask blank, a shielding pattern is formed with the mask blank, a shift pattern is formed after electron beams are overlapped for drawing, then the conducting layer 4 exposed at the opening section is removed. COPYRIGHT: (C)1992,JPO&Japio

Patent
26 Apr 1991
TL;DR: In this paper, a negative resist layer 11b is formed over the entire surface of a transparent substrate formed with light shielding material patterns 10 in the case of production of the phase shift mask having light shielding parts 10, light transparent parts 12 and phase shift parts 11a on the transparent substrate 1.
Abstract: PURPOSE:To provide the process for production of phase shift parts with easy stages and good controllability by self-alignment which does not necessitate the alignment of masks with each other. CONSTITUTION:A negative resist layer 11b is formed over the entire surface of a transparent substrate 1 formed with light shielding material patterns 10 in the case of production of the phase shift mask having light shielding parts 10, light transparent parts 12 and phase shift parts 11a on the transparent substrate 1. This layer is then exposed from the rear surface of the transparent substrate 1 and is developed to allow the negative resist layer 11b to remain on the light transparent parts 12. The negative resist layer 11b is further kept developed to narrow the width and to form sub-spaces 12a with the light shielding parts 10, by which the phase shift parts 11a are easily obtd. with high accuracy.

Patent
22 May 1991
TL;DR: In this article, the phase shift mask data on a semiconductor wafer is laid out in three levels: a real pattern data layer, an auxiliary pattern layer, and a phase shift pattern layer.
Abstract: PURPOSE: To check the drawing of the pattern data on the phase shift mask. CONSTITUTION: The pattern data on the phase shift mask is laid out divisionally in a real pattern data layer, an auxiliary pattern data layer, and a phase shift pattern data layer (101). Then the drawing of only data on the real pattern of the real pattern data layer is checked and corrected (102). Data on a pattern assumed to be transferred onto a semiconductor wafer is generated from data on the composite pattern of data on the correct real pattern obtained by the drawing check and correction, data on the auxiliary pattern, and data on the phase shift pattern (103). Then, the data on the expected pattern and the data on the real pattern are compared and the drawing of the data on the auxiliary pattern and phase shift pattern is checked (104). COPYRIGHT: (C)1992,JPO&Japio

Patent
18 Nov 1991
TL;DR: In this paper, a flattening film is formed in a region including the pattern defect 13 of the phase shift mask, the inside of the narrower region including pattern defect 14 is scanned and irradiated with a converging ion beam and is thereby etched.
Abstract: PURPOSE:To correct the pattern defect of a phase shift mask to be used for production of LSIs with high accuracy. CONSTITUTION:After a flattening film is formed in a region including the pattern defect 13 of the phase shift mask, the inside of the narrower region including the pattern defect 13 is scanned and irradiated with a converging ion beam and is thereby etched. The flattening film is removed after the end point of etching is detected by detecting a change in the intensity of a secondary signal.

Patent
07 Aug 1991
TL;DR: In this article, the authors measure the thickness of a shifter correctly by making an optical path passing the shifter agreed with that without a shifters by changing the thickness in a wedge-like glass, and converting the length of the shifters' thickness to that of the glass.
Abstract: PURPOSE:To measure the thickness of a shifter correctly by making an optical path passing the shifter agreed with that without a shifter by changing the thickness of a wedge-like glass, and converting the thickness of the shifter to that of the glass. CONSTITUTION:The light illuminated from a white light source 6 is divided into two paths through a half mirror 4. One optical path 11 passing through a shifter 10 is reflected by a mirror 5 to be incident upon a detector 9 through the half mirror 4. The other optical path 12 is reflected by a mirror 5, passing through a wedge-like glass 8 and combined with the optical path 11 by a half mirror 4, and incident upon the detector 9. When the lengths of the optical paths 11 and 12 converted to the air (index of refraction of light=1) become the same, that is, the passing time of the light through the optical path 11 becomes equal to the passing time of the light through the optical path 12, an interference signal of the lights is detected by the detector 9.

Book ChapterDOI
TL;DR: In this article, the phase shifter layout was optimized for phase shift in photolithography using computer simulations for 3D development of negative resist, and the resolution characteristics for different phase-shifting masks and numerical apertures were evaluated using normalized edge slope (NES), which represents the resolving power of an optical system.
Abstract: The resolution characteristics of photolithography using phase-shifting masks are analyzed with computer simulations for optimizing the phase shifter layout. The analysis is carried out for two-dimensional light intensity distribution given by an i-line stepper and phase-shifting masks composed of island array patterns, and for three-dimensional (3D) development of negative resist. The resolution characteristics for different phase-shifting masks and numerical apertures are evaluated using normalized edge slope (NES), which represents the resolving power of an optical system. The three-dimensional development process is simulated for the phase-shifting mask of 0.25 μm line-width. It is shown that 3D simulations are useful for analysis of phase-shifting lithography.

Patent
21 Nov 1991
TL;DR: In this paper, a phase shift mask which is designed to match an exposure device which emits monochromatic light of exposure wavelength (lambda) as source light is irradiated with the optical image to obtain an optical image, with which a defect at a phase-shift mask end part and/or in the phase mask is detected.
Abstract: PURPOSE:To improve the defect detection sensitivity and shorten the detection time. CONSTITUTION:The phase shift mask which is so designed to match an exposure device which emits monochromatic light of exposure wavelength (lambda) as source light (providing that its thickness (d) is limited to d=lambda/2(n-1) holds (where (n) is the refractive index of a mask material and lambda is exposure light wavelength)) is irradiated with the monochromatic light of exposure wavelength to obtain an optical image, with which a defect at a phase shift mask end part and/or in the phase shift mask is detected. Further, two shift masks are put one over the other after the monochromatic light is transmitted through them individually or a phase inverting filter is used for one mask to invert the phase by 180 deg.; and two optical images are compared to detect the defect in the shift mask.

Patent
23 Oct 1991
TL;DR: In this paper, the phase shift mask was applied to the illuminating light transmitted through apertures to decrease the fluctuations in the thicknesses of phase shifter layer patterns so that a phase shift effect can be utilized to the max. possible extent and overlap photolithographing is well executed by forming conductive layers and an etching stopper layer.
Abstract: PURPOSE: To decrease the fluctuations in the thicknesses of phase shifter layer patterns so that a phase shift effect can be utilized to the max. possible extent and overlap photolithographing is well executed by forming conductive layers and an etching stopper layer. CONSTITUTION: The etching stopper layer 2 at the time of forming the phase shifter layer patterns for applying a phase difference is provided and the conductive layer 6 for preventing the charge-up at the time of electron beam lithographing is provided in the case of production of the phase shift mask for applying the phase difference to the illuminating light transmitted through apertures. The etching stopper layer 2 is provided to stop the etching of the phase shifter layers 3 so as not to etch a substrate 1 and is required to have high transparency and to enable taking of a sufficient selection ratio with SiO 2 at the time of etching of the phase shifter layers 3. The conductive layers 6 are merely required to have the electrical conductivity for preventing charge-up at the time of the overlap plotting by electron beams. A metallic thin film, org. conductive material, etc., are usable for this layer. COPYRIGHT: (C)1993,JPO&Japio

Patent
25 Nov 1991
TL;DR: In this article, the micro-phase shifters constituting the pseudo-light shielding layers and the phase shifters which are the phase boundary regions are simultaneously formed by selectively etching away the phase shift films.
Abstract: PURPOSE: To enable the simultaneous formation of light shielding layers for shielding exposing rays and phase shifters which are phase boundary regions and to enable the independent and exact control of the sizes of the phase shifters. CONSTITUTION: The micro-phase shifters 23a consisting of the same material as the material of the phase shifters 22 are provided in the light shielding regions in such a manner that the light transmitted through the micro-phase shifters 23a and a transparent substrate 21 and the light transmitted only through the transparent substrate 21 have a phase difference which acts in the direction negating each other by interference, by which the pseudo-light shielding layers 23 are formed. The micro-phase shifters constituting the pseudo- light shielding layers and the phase shifters which are the phase boundary regions are simultaneously formed by selectively etching away the phase shift films. COPYRIGHT: (C)1993,JPO&Japio

Patent
13 Aug 1991
TL;DR: In this paper, a transparent phase shift mask is used to obtain a semiconductor laser which is able to oscillate in wavelengths very close to each other by a method wherein phase shift type diffraction gratings all the same in period but all different in phase shift are arranged on the semiconductor substrate in a direction vertical to the direction on a waveguide.
Abstract: PURPOSE:To obtain a semiconductor laser which is able to oscillate in wavelengths very close to each other by a method wherein phase shift type diffraction gratings all the same in period but all different in phase shift are arranged on a semiconductor substrate in a direction vertical to the direction on a waveguide CONSTITUTION:A transparent phase shift mask 1, in which a part of its surface is flat and the other part adjacent to the flat part is formed into steps 1a-1d, is used to form phase shift type diffraction gratings, which are all the same in period but all different in phase shift, on a semiconductor substrate 2 Using the phase shift mask 1 formed as above, a substrate diffraction grating pattern 4 is formed on the semiconductor substrate 2, then a guide layer, an active layer, a clad layer, and a cap layer are laminated through the same process that a conventional semiconductor laser is formed, and thus a semiconductor laser is formed for each phase shift By this setup, a semiconductor laser array composed of semiconductor lasers equal to phase shifts in number can be realized

Patent
12 Dec 1991
TL;DR: In this article, the phase shifter defect is removed with good selectivity by providing an etching stopper layer 6 between the phase shift mask 4 and glass substrate 7 of the mask and a reactive treatment combining a charged particle beam 1 and an etch gas 3.
Abstract: PURPOSE: To enable the easy correction of the defect of the phase shift make with high accuracy without depending on the shape of the defect by working which does not generate a level difference on a worked bottom surface. CONSTITUTION: The defect of the phase shifter 4 is removed with good selectivity by providing an etching stopper layer 6 between the phase shift mask 4 and glass substrate 7 of the phase shift mask and a reactive treatment combining a charged particle beam 1 and an etching gas 3. Correcting the defect of the phase shift mask 4 is performed with high accuracy in working depth without affecting of the shape of the defect. COPYRIGHT: (C)1993,JPO&Japio

Patent
02 Dec 1991
TL;DR: In this article, a phase shift mask is produced by using a mask having apertures in regions to be phase shifted on a substrate, depositing the shifter material over the entire surface and removing the non-shifter regions thereof.
Abstract: PURPOSE:To obviate the misregistration of a shift material and to enhance the accuracy of a mask by providing a metallic mask film having apertures in regions to be phase shifted on a substrate, depositing the shifter material over the entire surface and removing the non-shifter regions thereof. CONSTITUTION:A metallic film 2 consisting of Cr, etc., is deposited by evaporation on the substrate 1 having light transparency and after the apertures 7 are formed in the region to be phase shifted, an SiO2 layer 4 as the shifter material is deposited over the entire surface. An electron beam resist 3 is then applied over the entire surface and is patterned exclusive of the parts above the shifter parts 9. They layer 4 is removed with the remaining resists 3 as a mask and the film 2 is removed with the remaining layers 4 as a mask; further, the remaining resists 3 are removed by which the phase shift mask is produced.