scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 1995"


Patent
19 Jun 1995
TL;DR: In this article, the phase assignment for a phase shift mask is determined by a technique which determines, without assignment conflict, the intersection of the gate pattern with the active gate pattern and divides the intersection into categories of stacks where a slightly different phase assignment rules is employed for the different stacks.
Abstract: A method of performing poly level lithography in manufacturing an integrated circuit using a phase shift mask in a step and repeat optical tool where the phase assignment for said phase shift mask is determined by a technique which determines, without assignment conflict, the Intersection of the gate pattern with the active gate pattern and which divides the Intersection into categories of stacks where a slightly different phase assignment rules is employed for the different stacks.

124 citations


Proceedings ArticleDOI
26 May 1995
TL;DR: In this paper, a mask correction algorithm (OPTIMASK) has been designed and implemented, which is based on the lithographic notion that a mask has to print throughout its defocus budget, taking into account multiple defocus planes.
Abstract: A mask correction algorithm (OPTIMASK) has been designed and implemented. Its main ingredients are optical proximity correction (OPC) and optical design rule checker (ODRC). The algorithm is based on the lithographic notion that a mask has to print throughout its defocus budget, taking into account multiple defocus planes. In each defocus plane the aerial image is computed using FAIM, and the design failures are reported via ODRC. The mask correction is subjected to physical restrictions that do not allow any feature couplings to occur. The union of the failures at all defocus values determines the first step taken in correcting the mask. Then a (constrained) Newton optimization scheme is applied to optimize line shrinkage, linewidth control, and corner rounding errors. All the tools needed to optimize a specific layer within a particular cell and return the optimized layer to the original mask file have been implemented. Several examples will be shown.

89 citations


Patent
23 May 1995
TL;DR: In this paper, the use of a phase shifter which does not resolve under an optical projection system shields a large size area against an irradiated light, thereby allowing the formation of fine, intricate patterns suitable for use in LSIs.
Abstract: A photomask used by photolithography and a process for producing same which allows a single exposure to make a photomask, thereby simplifying the photomask making process, and facilitating the inspection and correction of photomasks. In addition, the phase shifter using a slanting pattern prevents a pattern from being formed outside a predetermined area. The use of a phase shifter which does not resolve under an optical projection system shields a large size area against an irradiated light, thereby allowing the formation of fine, intricate patterns suitable for use in LSIs.

77 citations


Patent
Lars W. Liebmann1
12 Dec 1995
TL;DR: In this article, a checking routine verifies a phase shifted mask (PSM) design based on fundamental principles of PSM and utilizing only basic shape manipulation functions and Boolean operations found in most computer aided design (CAD) systems.
Abstract: A checking routine verifies a phase shifted mask (PSM) design based on fundamental principles of PSM and utilizing only basic shape manipulation functions and Boolean operations found in most computer aided design (CAD) systems. The design verification system checks complete chip designs for the two possible design errors that can cause defective masks by eliminating the phase transition; namely, placing a 180° phase region on both sides of a critical feature or completely omitting the phase region adjacent to certain critical features.

55 citations


Patent
10 Jul 1995
TL;DR: In this article, an etch stop layer and phase shift layer are formed of chemically different materials to allow selective etching and end point detection, and the phase shift mask is then removed by exposing a resist layer to leave the phase shifters or alternately just the defects unprotected.
Abstract: A method for forming a defect-free phase shift mask includes forming a mask blank having a substrate, an etch stop layer, a phase shift layer and an opaque layer. The etch stop layer and phase shift layer are formed of chemically different materials to allow selective etching and end point detection. Initially the opaque layer is patterned and etched using a process such as e-beam lithography. Then the phase shift layer is patterned and etched using the etch stop layer as an end point. Bump defects formed in phase shift areas are then removed by exposing a resist layer to leave the phase shifters, or alternately just the defects, unprotected. During defect etching, the etch stop layer can again be used to endpoint the etch process. The etch stop layer can also be formed as a phase shift layer to permit removal of indentation defects using a process such as ion milling.

55 citations


Patent
07 Feb 1995
TL;DR: In this paper, the attenuation effect of the deposited material together with the depth of the recess co-act to shift the light approximately 180° (π radians) from the light transmitted through the adjacent transmissive regions of the mask to create by destructive interference a sharp delineation at the edges of the projected mask image.
Abstract: An attenuating, phase-shift, semiconductor fabrication mask having recessed attenuating and phase-shifting regions that is not susceptible to phase defects in the printing regions of the mask. This desirable result is accomplished by not altering the surface of the fully transmissive regions of the mask and by recessing the attenuating regions of the mask relative to the fully transmissive regions. A method of forming the recessed attenuated phase-shift mask is also included. The process begins by forming recesses in the regions of the mask substrate where phase-shifting is desired and back filling these recessed regions with a selected thickness of attenuating material so that the attenuation effect of the deposited material together with the depth of the recess co-act to shift the light approximately 180° (π radians) from the light transmitted through the adjacent transmissive regions of the mask to create by destructive interference a sharp delineation at the edges of the projected mask image.

52 citations


Patent
20 Apr 1995
TL;DR: In this article, a phase shift mask pattern is constructed by substituting the ends of the intrinsic mask with the translucent films, which give rise to a phase difference of about 180 degrees between the light transmitted there through and the light not transmitted therethrough.
Abstract: PROBLEM TO BE SOLVED: To obtain desired mask patterns by partially using the effect of a phase shift mask. SOLUTION: The mask patterns are formed of light shielding films 2 and translucent films 3 on a transparent substrate 1. The light shielding films 2 are composed by substituting the ends among the pattern shapes of the intrinsic mask with the translucent films 3. The translucent films 3 have transmittance of about 4 to 15% and give rise to a phase difference of about 180 deg. between the light transmitted therethrough and the light not transmitted therethrough. As a result, the light intensity at the edges of the translucent films 3 is steepened and the degeneracy of the patterns transferred to a photoresist is prevented. Since the light shielding films 2 are partly substd. with the translucent films, the design and production thereof are easy.

49 citations


Patent
10 May 1995
TL;DR: In this article, a phase shift mask was applied when a very fine pattern region of a device was exposed to light and a usual transmitting mask when the rest of the device was not exposed to the light in a reduced projection exposure method.
Abstract: PURPOSE:To apply a phase shift mask when a very fine pattern region of a device is exposed to light and a usual transmitting mask when the rest of the device is exposed to light in a reduced projection exposure method when a device pattern is formed. CONSTITUTION:One or two different masks having a phase shift mask region and a light transmitting-type mask region are laid on a specimen at the same position overlapping each other, and then the specimen is subjected to a light exposure process. By the above-mentioned method, the very fine pattern region and the circuit pattern region of the specimen can be exposed to light at the same time. By this setup, a device with a very fine pattern can be easily patterned and easily formed high in throughput and profitability.

46 citations


Patent
04 Dec 1995
TL;DR: The phase shift mask as discussed by the authors consists of a quartz substrate, a chromium pattern, and a plurality of uniformly spaced phase shift layer patterns alternating with areas of the quartz substrate not covered with the chromium patterns.
Abstract: The phase shift mask includes a quartz substrate, a chromium pattern (13, 23) formed on the quartz substrate around the a periphery of the quartz substrate, and a plurality of uniformly spaced phase shift layer patterns (15, 25) alternating with areas of the quartz substrate (11, 25) not covered with the chromium pattern, each of the phase shift layer patterns having a line width (e.g. 0.5 microns) larger than the wavelength of a light source used e.g. 0.365 microns in the light exposure. The phase shift mask is capable of forming holes having a dimension smaller than the wavelength of exposure light while being spaced at small intervals.

43 citations


Patent
31 Mar 1995
TL;DR: In this paper, a subtractive method for making a Levenson type lithographic phase shift mask using a sacrificial etch monitor film was proposed, in which some of the monitor film is left standing on the opaque portions of the mask.
Abstract: A subtractive method for making a Levenson type lithographic phase shift mask using a sacrificial etch monitor film (9) in which some of the monitor film is left standing on the opaque portions (7) of the mask. The monitor film otherwise is consumed when it is simultaneously etched with selected portions of the mask substrate to produce recesses (14) of desired depth in the substrate. The etching is stopped upon detecting that the etched monitor film is completely consumed. The technique also is adapted for the fabrication of a RIM type lithographic phase shift mask combined with the Levenson type phase shift mask in the same mask. The technique further is adapted to include 90 degree shift transitions at the end of the Levenson line-space pairs of the mask. The monitor film left standing on the opaque portions of the mask provides self-aligned phase error correction to offset sidewall scattering in the Levenson type mask.

29 citations


Patent
06 Feb 1995
TL;DR: In this paper, the data of a mask pattern of a phase shift mask is made, and the pattern data is separated into a real pattern data layer having the data from real patterns and an out-of-phase data layer with data from phase shift patterns, and it is verified whether or not the mask pattern satisfies the regulation of the gap of in-phase patterns.
Abstract: When the data of a mask pattern of a phase shift mask is to be made, the pattern data is separated into a real pattern data layer having the data of real patterns and a phase shift pattern data layer having the data of phase shift patterns. After this, it is verified whether or not the mask pattern satisfies the regulation of the gap of in-phase patterns, in which lights having transmitted through patterns adjacent to each other are in phase. It is also verified whether or not the mask pattern satisfies the regulation of the gap of out-of-phase patterns, in which lights having transmitted through patterns adjacent to each other are out of phase.

Patent
Yoshihiko Okamoto1, Tsuneo Terasawa1, Akira Imai1, Norio Hasegawa1, Shinji Okazaki1 
20 Oct 1995
TL;DR: In this article, a two-layer phase shift mask is used to prevent positional shifts of the image forming plane during the exposure process using the two layer mask, and the height position of the semiconductor wafer is moved in the optical axis direction according to the mask substrate thickness of the second component mask 12b.
Abstract: To prevent positional shifts of the image forming plane during the exposure process using the two-layer phase shift mask, the height position of the semiconductor wafer 14 is moved in the optical axis direction according to the mask substrate thickness of the second component mask 12b, prior to performing the exposure process which uses the stacked-layer mask 12 that comprises a first component mask 12a formed with a pattern of light-shielding areas and light-transmitting areas and a second component mask 12b formed with a phase shift pattern to produce a phase shift in the transmitted light.

Patent
16 Nov 1995
TL;DR: In this article, an attenuating phase shifting rim type photomask was proposed for projection type lithographic apparatus, which is formed by exposing a layer of negative photoresist through a second surface of a transparent mask substrate having a patterned layer of attenuated phase shifting material formed on a first surface of the mask substrate.
Abstract: This invention provides a method of forming an attenuating phase shifting rim type photomask and an attenuating phase shifting rim type photomask for use in projection type lithographic apparatus. The photomask is formed by exposing a layer of negative photoresist through a second surface of a transparent mask substrate having a patterned layer of attenuating phase shifting material formed on a first surface of the transparent mask substrate. The exposed and developed photoresist forms a pedestal with sloping sides. A layer of opaque material is vertically anisotropically deposited on the top of the pedestal and that part of the patterned layer of attenuating phase shifting material not shaded by the pedestal. The pedestal and opaque material formed on the top of the pedestal is then removed to complete the mask.

Patent
24 Mar 1995
TL;DR: In this paper, a phase shift mask which satisfies optical characteristics and chemical resistance, has high pattern precision, and develops no pattern crack was provided. But, the phase shift masks were made with a single composition, and the side surfaces of shifter parts were raised almost vertically from transparent bases.
Abstract: PURPOSE: To provide a phase shift mask which satisfies optical characteristics and chemical resistance, has high pattern precision, and develops no pattern crack. CONSTITUTION: When phase shift films 10, 40 for shifting the phase of exposure light are formed, phase shift photomask blanks are formed with about the thickness directional center being set to a composition having a slow side etching speed S when the films are formed with a single composition, so that the difference in the side etching quantity differed in the thickness direction of the phase shift films 10, 40 is minimized, and when phase shift photomasks 35, 39 are formed by dry etching, the side surfaces of shifter parts 7, 17 are raised almost vertically from transparent bases 5, 15. The regulation of adding ratio of NO gas at sputtering suffices for the use of a molybdenum silicide oxide nitride film as the phase shift film.

Patent
11 Oct 1995
TL;DR: In this article, a phase shift mask is fabricated by forming the chromium pattern such that it is flush with the quartz substrate at its edge, which prevents the phase shift material pattern from having a non-uniform thickness.
Abstract: A phase shift mask having a uniform thickness at a phase shift region. The phase shift mask includes a quartz substrate provided with a plurality of grooves, a chromium pattern coated over the grooves and every other portion of the quartz substrate disposed between the grooves, and a phase shift material pattern coated over the portion of the quart substrate not covered with the chromium pattern, the phase shift material pattern overlapping with the portions of the chromium pattern disposed at opposite sides of the portion of the quartz substrate not covered with the chromium pattern. This phase shift mask is fabricated by forming the chromium pattern such that it is flush with the quartz substrate at its edge. By this phase shift mask, it is possible to prevent the phase shift material pattern overlapping with the chromium pattern from having a non-uniform thickness due to the topology of the chromium pattern.

Patent
Burn Jeng Lin1
27 Apr 1995
TL;DR: In this article, a multi-station step-and-repeat stepper for imaging semiconductor wafers is described, which is used for image field characterization or image defect correction, or for phase shift mask (PSM) loop cutting.
Abstract: A multi-station step-and-repeat apparatus (stepper) for imaging semiconductor wafers (114,114',116). The stepper (300) has at least 2 stations (106,106',108), at least one (108) of which is for imaging. The second station (106) may be used for image field characterization, or image defect correction, or for phase shift mask (PSM) loop cutting. Multiple laser beams (310-326') directed in orthogonal directions provide interferometric monitoring to track wafer locations for wafers on the stepper.

Proceedings ArticleDOI
19 May 1995
TL;DR: In this paper, the authors describe a process for deposition of tantalum-containing films using a tantalumbased organometallic precursor for repair of clear defect on X-ray masks.
Abstract: Two processes have been developed to enable both focused ion beam (FIB) repair of advanced masks and FIB device modification. Silicon dioxide- based films can be deposited by rastering a focused ion beam across a surface onto which a combination of siloxane and oxygen gases have been adsorbed. The deposited material exhibits sufficient dielectric strength to be used for FIB modification of devices. Applications of FIB dielectric deposition include: (1) Local passivation. (2) Backfilling vias to allow for probing buried metal layers without contacting exposed metal layers. (3) Electrical isolation between crossed metal lines. (4) Optically transparent films for phase shift mask repair. In the first half of this paper we discuss the gas delivery system, and the material and electrical characteristics of the films, as well as describing typical device modifications using FIB dielectric films. In the second half of the paper we describe a process for deposition of tantalum- containing films using a tantalum-based organometallic precursor for repair of clear defect on X-ray masks. Although FIB gold films are adequate for repair of gold-absorber, silicon-membrane X-ray masks, gold films are not acceptable in the fab line, and tantalum is preferred for repair of either tungsten or tantalum absorber X-ray masks.

Patent
17 Apr 1995
TL;DR: In this article, a method for forming a halftone pattern material layer on a substrate is presented. But the method is limited to the case of a single phase shift mask, and it is not suitable for other phase shift masks.
Abstract: A halftone phase shift mask and a method for forming a halftone phase shift mask. The method includes: forming a halftone pattern material layer on a substrate; defining positions for forming more than one open region of a desired pattern and defining positions for forming one or more dummy open regions that can offset respective new side lobes having a high intensity formed by overlap of side lobes of light irradiated onto the substrate as well as the halftone material layer; and forming the patterned open regions and dummy open regions that can offset respective new side lobes at the defined positions on the halftone pattern material layer by subjecting the halftone pattern material layer to patterning.

Proceedings ArticleDOI
06 Oct 1995
TL;DR: The LRS family of laser scanning pattern generators with stages 600 by 600, 800 by 800, and 1100 by 1100 mm, a selection of optical resolutions, and lasers for chrome and photographic emulsion cover the complete range of precision large-area masks.
Abstract: The LRS family of laser scanning pattern generators with stages 600 by 600, 800 by 800, and 1100 by 1100 mm, a selection of optical resolutions, and lasers for chrome and photographic emulsion cover the complete range of precision large-area masks. The first large-area system was delivered in 1992, and there are now 11 systems installed or on order for applications ranging from precision metal etching to shadow masks and high-end chrome masks for AMLCDs and field-effect displays. These writers match the requirements for the next generation of large screen printers. It is technically feasible to build a full-field projection printer with resolution and geometrical corrections similar to those of a stepper, but with no stitching or intra-field distortion. The pattern quality would be that of the mask and higher productivity would result. The combined experience of masks for flat panels and shadow masks makes the LRS system well characterized for visual display applications. In particular all systematic errors visible in the finished displays are suppressed to very low levels. The LRS writers satisfy the needs for high-quality large-area photomasks, including masks for AMLCDs.

Proceedings ArticleDOI
26 May 1995
TL;DR: In this article, the global proximity effects of densed line, semi-isolated line, and off-axis illumination in combination with attenuated phase shift masks were analyzed. But the authors focused on the performance of the super resolution technique.
Abstract: The global proximity effects of densed line, semi-isolated line are studied for conventional illumination, off-axis illumination, and finally off-axis illumination in combination with attenuated phase shift masks which have transmittance of 4% and 8%, respectively, by experiments and simulations. To analyze the behavior of proximity effects, the lithographic performances of the super resolution technique are investigated comparing the cross-sectional view of resist pattern profile, useful depth of focus, and the curves of linewidth vs. defocus for 0.30 micrometers , 0.35 micrometers , and 0.40 micrometers pattern size, respectively. The global proximity effect is quantitatively analyzed by fitting the curve for densed line and isolated line to 2nd order polynomials. Off- axis illumination with attenuated phase shift mask is very effective to minimize the proximity effects for the pattern size less than 0.40 micrometers , and have useful depth of focus of 1.0 micrometers for 0.30 micrometers patterns.

Patent
21 Dec 1995
TL;DR: In this paper, a phase shift mask has a halftone shifter film having apertures 45 on a mask substrate 41 and a light shielding film 42 having an aperture 43 and is formed in part on the light-shielding film 42.
Abstract: PROBLEM TO BE SOLVED: To make it possible to obtain a phase shift mask with which the film decreasing in large patterns and scribing lines is suppressed by applying a halftone system only to the regions of the finest patterns desired to be provided with an effect. SOLUTION: This phase shift mask has a halftone shifter film 44 having apertures 45 on a mask substrate 41 and a light shielding film 42 having apertures 43 and is so constituted that the halftone shifter film 44 is formed in part on the light shielding film 42. If the various patterns exist, the same mask is provided thereon with two kinds of the structures, the halftone mask and ordinary mask, and, therefore, the halftone system is applicable only to the regions of the finest patterns desired to be provided with the effect. An overlap structure is adopted at the boundaries of two kinds of these structures, by which the allowance for mating at the time of executing two times of exposure stages may be taken and the need for adopting the structure having no halftone shifter film and light shielding film at the boundaries is eliminated. COPYRIGHT: (C)1997,JPO

Journal ArticleDOI
TL;DR: In this paper, the nonplanar topography effects of phase shift masks (PSMs) on imaging characteristics are analyzed by using vector theory and the intensity distributions along the cross-sectional plane of the mask and image plane are calculated.
Abstract: Nonplanar topography effects of phase shift masks (PSMs) on imaging characteristics are analyzed by using vector theory. Intensity distributions along the cross-sectional plane of the mask and image plane are calculated. The polarization effects of transverse electric (TE) and transverse magnetic (TM) waves are examined and the difference between the diffraction efficiency of TE waves and that of TM waves is evaluated. Imaging characteristics obtained using several types of alternate PSMs are examined, taking nonplanar topography effects into account. The lack of intensity balance between adjacent aperture images and asymmetry in the intensity with respect to the best focal plane are predicted by accurately calculating optical diffraction at the PSM. Also, 360° phase shifting by fabricating a subtractive structure in a chromeless area is examined and is found to produce a dip in the image intensity; such a dip would not be predicted by conventional scalar theory.

Patent
04 Aug 1995
TL;DR: In this article, a single-layer, half-tone phase shift mask is proposed to reduce manufacturing processes and the occurrence of defects, control the amount of phase shift, and control light intensity transmittance to the appropriate value.
Abstract: PURPOSE:To provide a single-layer, half-tone phase-shift mask which can reduce manufacturing processes and the occurrence of defects, control the amount of phase shift, and control light intensity transmittance to the appropriate value and a method for manufacturing the same. CONSTITUTION:A single-layer,-half-tone phase-shift mask comprises a substrate 10 and a single semishielding layer 22 serving also as a shifter layer formed on the substrate and having semishielding films formed in a desired pattern, the semishielding films being made from a material with a complex index of refraction whose real and imaginary number parts can be varied depending on the film-forming conditions of CVD method. A method for manufacturing the mask comprises a process in which, using on the substrate the material with a complex index of refraction whose real and imaginary number parts can be varied depending on the film-forming conditions of CVD method, semishielding films are formed from the material using CVD method and a single semishielding layer serving also as a shifter layer is formed by the selective removal of part of the semishielding films.

Patent
25 Apr 1995
TL;DR: In this paper, the halftone phase shift photomask was used for high-resolution lithography by krypton fluoride excimer laser exposing, and the mask is formable by nearly the same method as the method for the conventional type photomasks and therefore the yield is improved and the cost is reduced.
Abstract: PURPOSE:To provide the halftone phase shift photomask which has sufficient transmittance to short-wavelength light and is usable for high-resolution lithography by krypton fluoride excimer laser exposing, etc. CONSTITUTION:The layers mainly composed of a chromium compd. of the halftone phase shift photomask 505 including at least >=1 layers mainly composed of the chromium compd. in the halftone phase shift layers on a transparent substrate are the compd. contg. at least fluorine atoms in addition to chromium atoms and, therefore, the prescribed or higher transmittance is obtainable in spite of the short-wavelength exposure. Since this photomask is usable for Krypton fluoride excimer laser exposing (wavelength: 248nm), etc., the high- resolution lithography is realized. The mask is formable by nearly the same method as the method for the conventional type photomasks and, therefore, the yield is improved and the cost is reduced.

Patent
25 Jul 1995
TL;DR: In this paper, a phase shift mask having an exact phase shift quantity in a relatively simple stage was obtained by removing the transparent substrate in the thickness direction by as much as a prescribed depth in one of the transparent parts disposed on both sides of the light shielding parts.
Abstract: PROBLEM TO BE SOLVED: To make it possible to obtain a phase shift mask having an exact phase shift quantity in a relatively simple stage. SOLUTION: Light shielding film patterns 2a constituting transfer patterns of light shielding parts 21 and light transparent parts 22 are formed within the transfer region E1 on a transparent substrate 1. At least a part of these light shielding film patterns 2a are provided with patterns having the light transparent parts 22 arranged on both sides of the light shielding parts 21. The light shielding patterns 2a of the phase shift mask having phase shift parts 23 formed by removing the transparent substrate 1 in the thickness direction by as much as a prescribed depth in one of the transparent parts 22 disposed on both sides of the light shielding parts 21 are composed of a material removable by an etching method common to an etching method used at the time of removing part of the transparent substrate 1 in order to form the phase shift parts 23, thereby, the common use of the pattern exposure for forming the phase shift parts 23 with the pattern exposure common to the exposed patterns of approximately half parts of the light shielding film patterns 2a is made possible without separately executing the pattern exposure for forming the phase shift parts 23. The time for plotting by exposure is shortened and the control of the phase shift quantity is made extremely easy.

Proceedings ArticleDOI
26 May 1995
TL;DR: In this article, the side lobe effect that restricts the lithographic performance of attenuated phase shift mask and the light intensity distribution have been examined on changing the pattern density and the transmittance by experimental and simulation.
Abstract: An attenuated phase shift mask (PSM) is the most promising candidate for the high volume production lithography process among the various PSM types. It has been shown that attenuated PSM improves the lithographic performance such as depth of focus, especially in contact window by its edge enhancement. In this paper, the side lobe effect that restricts the lithographic performance of attenuated PSM and the light intensity distribution have been examined on changing the pattern density and the transmittance by experimental and simulation. The side lobe effect caused by proximity effect is very severe when pitch sizes are in the range of 0.7-0.9 micrometers for 0.35-0.45 micrometers contact hole on mask and it is enlarged by defocus exposure condition. The side lobe effect in this range of pitch size may forms the additional pattern in wafer, which restricts the application of attenuated PSM. The side lobe effect can be removed by additional pattern positioning at the center of four contact hole patterns, but simulation result of Exposure-Defocus tree (E-D tree) shows that lithographic performance of attenuated PSM is decreased by an auxiliary pattern. In the application of attenuated PSM in dense pattern, the relation between performance and side lobe effect is mutually contradictory.

Patent
28 Nov 1995
TL;DR: The phase shift mask as mentioned in this paper is capable of forming contact holes having a micro dimension smaller than the wavelength of exposure light while being spaced at small intervals, each of the phase shift layer patterns having a line width larger than a light source used in a light exposure procedure.
Abstract: A phase shift mask capable of forming contact holes having a micro dimension smaller than the wavelength of exposure light while being spaced at small intervals. The phase shift mask includes a quartz substrate, a chromium pattern formed on the quartz substrate along a peripheral edge of the quartz substrate, and a plurality of uniformly spaced phase shift layer patterns formed on a portion of the quartz substrate not covered with the chromium pattern, each of the phase shift layer patterns having a desired size. Each of the phase shift layer patterns has a line width larger than the wavelength of a light source used in a light exposure procedure.

Patent
03 Oct 1995
TL;DR: In this article, a phase shift mask is formed by providing the surface of a glass substrate 11 with a shifter 17 which is shifted by 180 degrees in phase of exposing light and is specified in amplitude transmittance to T%.
Abstract: PURPOSE:To provide a phase shift mask which is formable with dark parts on its periphery by periodically arranging fine patterns below the resolution threshold without increasing mask producing stages and permits adequate mask designing for forming the dark parts. CONSTITUTION:This phase shift mask is formed by providing the surface of a glass substrate 11 with a shifter 17 which is shifted by 180 deg. in phase of exposing light and is specified in amplitude transmittance to T%. Circular openings 18 having a radius Rmum are periodically arranged at a longitudinal and transverse pitch Pmum at this shifter 17. Such phase shift mask is subjected to exposure by an exposure device which has sigma in the value defined by sintheta/NA when the numerical aperture is defined as NA, the exposing wavelength as lambdamum and the incident angle of the exposing light emitted from the light source section furthest from the optical axis as theta. R and P of the mask are so designed as to simultaneously satisfy the relations piR =(P -piR )T/100, P

Patent
16 Jun 1995
TL;DR: In this article, a method for forming a film necessary for constituting light translucent parts on a transparent substrate and forming mask patterns consisting of light transmissive parts and the light translucent part by a photolithography method in these parts is used as a method to forming the mask patterns imparted with the biases.
Abstract: PURPOSE:To provide a process for production of a halftone type phase shift mask with which mask patterns imparted with biases for improving the depth of focus are obtainable by a relatively simple method without using intricate techniques such as conversion of EB data. CONSTITUTION:A method for forming a film necessary for constituting light translucent parts on a transparent substrate 1 and forming the mask patterns consisting of light transmissive parts and the light translucent parts by a photolithography method in these parts is used as a method for forming the mask patterns imparted with the biases. Light shielding film patterns 2 having the sizes complying with the design value of the mask are formed on this transparent substrate 1 as the mask for exposing in this photolithography method. A photoresist 5a formed in the sections formed with the films 4a necessary for constituting the light translucent parts is subjected to overexposing by using this mask for exposing, by which the mask patterns imparted with the biases are formed.

Proceedings ArticleDOI
Sung-Gi Kim1, Sang-Gyun Woo1, Woo-Sung Han1, Young-Bum Koh1, Moon Yong Lee1 
26 May 1995
TL;DR: In this paper, an alternating phase shift mask (PSM) was used to check the usefulness of an alternating PSM and applied to 1.0 micrometers pitch gate-poly pattern of a mask-ROM device.
Abstract: In order to check the usefulness of an alternating Phase Shift Mask (PSM), we fabricated one and applied to 1.0 micrometers pitch gate-poly pattern of a mask-ROM device. Etched quartz type was used and multiphase shifter method was adopted using a g-line positive tone photoresist. Practically, defect-free PSM could be obtained through 4 times voting method. PSM was written with CORE-2564-PSM reticle writer which showed fast writing speed and simple second layer fabrication due to free of charge dissipation layer, making voting method easily possible. We used the g-line stepper having numerical aperture (NA) of 0.54 and coherence factor ((sigma) ) of 0.5. From this experiment we obtained depth of focus (DOF) of 1.0 micrometers which was the same as that of i-line case (Na 0.45, (sigma) 0.5) and was twice wider than that of conventional g-line case. And there was no loss of critical dimension uniformity for PSM. Conventional i-line reticle and g-line alternating PSM were applied to real device fabrication. Final electrical yield analysis revealed no difference between the two cases, indicating that application of alternating PSM to device fabrication is very promising. Device characteristics of two cases were almost same in speed and low Vcc margin, too.© (1995) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.