scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 1996"


Patent
26 Feb 1996
TL;DR: In this article, a phase shift mask is mounted on an x - y stage to provide it with at least one degree of freedom while the mask is being scanned by the electron beam.
Abstract: An apparatus scans an electron beam across an optical phase shift mask and automatically inspects the mask to determine the features of the phase shift mask and classification of defects. An electron beam is directed at the surface of a mask for scanning that mask and detectors are provided to measure the secondary and backscattered charged particles from the surface of the mask. The mask is mounted on an x - y stage to provide it with at least one degree of freedom while the mask is being scanned by the electron beam. By analysis of various waveform features in each of the secondary and backscatter electron waveforms obtained from a phase shift mask, various physical features of the mask can be detected, as well as their size and position determined. The thickness of chromium layers can also be determined. In the inspection configuration, there is also a comparison technique for comparing the pattern on the substrate with a second pattern for error detection.

96 citations


Patent
Kazuko Oi1, Kiyomi Koyama1
31 May 1996
TL;DR: In this paper, a method for designing the photomask in the course of designing the Levenson-type phase shift mask, capable of automatically arranging the shifter, of not causing a contradictory spot in a circuit designing stage and of automatically forming a final layout achieving maximum integrity is presented.
Abstract: Method and apparatus for designing the photomask in the course of designing the Levenson-type phase shift mask, capable of automatically arranging the shifter, of not causing a contradictory spot in a circuit designing stage and of automatically forming a final layout achieving maximum integrity. The method includes the steps of: forming symbolic layout data in which a distance between adjacent clear areas is set to an arbitrary value; determining regions having a mutual phase difference 0° or 180° of light transmitting through adjacent patterns corresponding to the clear areas in the symbolic layout data; executing compaction of the symbolic layout in a manner that design rule S1 is adopted to the clear areas neighboring with the phase difference of 180° and design rule S2 is adopted to the clear areas neighboring with the phase difference of 0°; and forming mask layout data such that S1 is less than S2.

54 citations


Patent
23 Jan 1996
TL;DR: In this paper, a phase shifting photomask is constructed by forming a transparent substrate having a pattern of opaque features and determining areas of phase conflicts between the features, and then forming phase shifters having a tapered edge on selected portions of the features in the phase conflict areas.
Abstract: A method for forming a phase shifting photomask is provided. The method includes forming a transparent substrate having a pattern of opaque features and determining areas of phase conflicts between the features. Phase shifters having a tapered edge are formed on selected portions of the features in the phase conflict areas while the remaining portion of the features remain unprotected. During a subsequent lithographic process using the photomask, the tapered edges of the phase shifters spread out the electric field so that an image corresponding to the tapered edges does not print at the target. This permits the phase shifters to be located without the constraints of an alternating aperture pattern. The phase shifters can be formed using an additive process in which a phase shift layer is deposited over the opaque features or using a subtractive process in which the substrate subjacent to the opaque features is etched.

34 citations


Patent
Shuji Nakao1
13 Dec 1996
TL;DR: In this article, an aligner using a phase shift mask for forming a fine and dense contact hole pattern and a patterning method thereof is provided, and the aligner is used to align the two phase shift masks.
Abstract: Exposure light 56 is split into first and second exposure light beams, and first and second phase shift masks 10A and 10B are irradiated with the first and second exposure light beams, respectively. In the first and second phase shift masks 10A and 10B a plurality of light shielding portions and a plurality of strip-shaped transmission portions are located between the plurality of light shielding portions for alternately inverting the phase of transmission exposure light. Transmitted and combined exposure light 78 resulting from interference is directed onto photoresist. In the above-described configuration, an aligner using a phase shift mask for forming a fine and dense contact hole pattern and a patterning method thereof are provided.

31 citations


Patent
20 Feb 1996
TL;DR: In this paper, a multi-station step and repeat device (stepper) for forming the image of a semiconductor wafer is provided, where at least one of them is used for forming an image 108.
Abstract: PURPOSE: To provide a multi-station step and repeat device (stepper) for forming the image of a semiconductor wafer. CONSTITUTION: A stepper that has at least two stations 106 and 108 is provided, where at least one of them is used for forming an image 108. Stations other than those for forming an image can be used for measuring image field characteristics or correcting an image defect or cutting a phase shift mask(PSM) loop. A plurality of laser beams 120-134 that are directed in an orthogonally crossed direction provide monitoring by an interferometer and track a wafer position regarding a wafer on the stepper.

28 citations


Patent
11 Jul 1996
TL;DR: In this paper, a halftone phase shift mask blank is provided in which the transmittance can be easily controlled without varying phase difference by controlling the refractive index of the transmissive layer to a desired value in a shifter layer.
Abstract: PROBLEM TO BE SOLVED: To provide a halftone phase shift mask blank in which the transmittance can be easily controlled without varying phase difference by controlling the refractive index of the transmittance controlling layer to a desired value in a shifter layer comprising a phase difference controlling layer and the transmittance controlling layer, and a halftone phase shift mask. SOLUTION: The halftone phase shift mask blank 10 has the shifter layer 4 comprising the transmittance controlling layer having the refractive index n satisfying 0.9≤n≤1.1 and the extinction coefficient k satisfying 1.0

22 citations


Proceedings ArticleDOI
24 Jul 1996
TL;DR: In this paper, a direct phase shift measurement system with transmitted deep-UV illumination for phase shifting mask (PSM) using a lateral shearing interferometer system is described, which can measure a small pattern down to 1 μm with the objective of N.A.=0.4.
Abstract: This paper describes a direct phase-shift measurement system with transmitted deep-UV illumination for phase shifting mask (PSM) using a lateral shearing interferometer system. This interferometer has new structure developed for this purpose. The mirror mount of the interferometer is made of SiC ceramics that promote stability against vibration and ambient temperature drift. The illumination employs a xenon mercury arc lamp that has a spectrum close to the wavelength of KrF excimer laser. The repeatability of measurements is 0.5 degree in 3 sigma. The system can measure a small pattern down to 1 μm with an alternating type PSM with the objective of N.A.=0.4. Influence of incident angle of illumination on phase-shift measurement is investigated by experiment. The results show similar effects with simulation for circular illumination. The phase-shift measurement results on quartz step meet well with a calculation from step height and known refractive index including the effect of incident angle of illumination. The deep-UV measurement results also have good correlation with calculations from the results with another direct phase-shift measurement system that wavelength is 365nm. The simulation for focus latitude of alternating type PSMs agree with the experimental results of wafer exposure and the phase measurement. The accuracy of this system is sufficient for application to development of phase shift mask process.

21 citations


Patent
Shuji Nakao1
16 Dec 1996
TL;DR: In this article, a pattern forming method using a phase shift mask, which allows formation of small hole patterns even with the exposure light being slightly defocused in the case of forming hole dense patterns such as those in a memory device, is provided.
Abstract: A prescribed region of a photoresist is subjected to first exposure, second exposure, and thereafter to development. Different phase shift masks are used at the time of the first exposure and the second exposure, respectively. Each phase shift mask has a light shielding film located between two light transmitting portions for respectively transmitting light with a phase difference of 180°. Thus, a pattern forming method using a phase shift mask, which allows formation of small hole patterns even with the exposure light being slightly defocused in the case of forming hole dense patterns such as those in a memory device, can be provided.

20 citations


Patent
10 Dec 1996
TL;DR: In this article, a phase shift mask was proposed to prevent the formation of a ghost image caused by diffraction and interference of light with the phase of 0 DEG and light with a phase of 180 DEG meeting upon forming a pattern by use of the mask of the Half-tone type mask.
Abstract: A phase shift mask capable of preventing the formation of a ghost image caused by diffraction and interference of light with the phase of 0 DEG and light with the phase of 180 DEG meeting upon forming a pattern by use of the mask of the Half-tone type mask. The phase shift mask includes a photoresist film pattern having a light transmitting portion and a light shielding portion, a phase shift layer adapted to shift the phase of light passing through the light shielding portion of the photoresist film pattern, and an assistant pattern adapted to remove unnecessary components of a main waveform of the light, which components are formed due to the diffraction of light at opposite sides of the main light waveform, the assistant pattern being comprised of a light transmitting portion for shifting the phase of a light incident thereon to 0 DEG .

19 citations


Journal ArticleDOI
TL;DR: An object-based approach to systematic phase shift mask design that results in substantially larger assisting phase shift features, and is therefore easier to fabricate, and can be used to design masks with proper bias and/or extension of the depth of focus.
Abstract: We propose a new approach to systematic phase shift mask design. In doing so, we constrain the complexity of the mask at a pre-specified level by limiting the number of "features" on the mask. We then optimize the location, size and phase of the features so as to achieve a desired intensity pattern on the wafer. The main advantage of this object-based approach over our previous pixel-based solution is that it results in substantially larger assisting phase shift features, and is therefore easier to fabricate. Our approach can also be used to design masks with proper bias and/or extension of the depth of focus. We show examples of contact hole, bright line and chromeless line-space mask designs. Finally, we show experimental results using the new approach.

19 citations


Patent
18 Oct 1996
TL;DR: In this article, a phase shift mask is provided with translucent patterns 2' and light shielding patterns 3' on a transparent substrate l or with the light shielding pattern 3' and the translucent pattern 2' in this order on the transparent substrate 1.
Abstract: PURPOSE: To provide a phase shift mask with which a phase shift effect higher than heretofore is obtainable and the drastic limitation on the conditions of phase shift exposure is eliminated, a process for production capable of easily producing this phase shift mask with high productivity and a mask blank conve nient for production. CONSTITUTION: This phase shift mask is provided with translucent patterns 2' and light shielding patterns 3' on a transparent substrate l or with the light shielding patterns 3' and the translucent patterns 2' in this order on the transparent substrate 1. The light shielding patterns 3' are sized not to shield at least edges of the translucent patterns 2'. The material of the light shielding patterns 3' can be etched by the same etching species as the etching species of the translucent patterns 2' and the etching rate is higher than in the case of the translucent patterns 2'.

Patent
23 Oct 1996
Abstract: A silicon nitride film and a silicon oxide film are deposited on a transparent substrate 1 to cover a first light transmitting region and to expose a second light transmitting region. A light blocking film is formed in a light blocking region sandwiched between the first and the second light transmitting regions Ta and Tn to cover the transparent substrate 1. A phase shift mask, a blank for a phase shift mask, and a method of manufacturing a phase shift mask are accordingly obtained in which the phase difference of the light transmitted through the light transmitting regions adjacent to each other with the light blocking film interposed is substantially 180° and the intensity of each transmitting light is identical.

Patent
23 Aug 1996
TL;DR: In this paper, the phase assignment for a phase shift mask is determined by a technique which determines, without assignment conflict, the intersection of the gate pattern with the active gate pattern and divides the intersection into categories of stacks where a slightly different phase assignment rules is employed for the different stacks.
Abstract: A method of performing poly level lithography in manufacturing an integrated circuit using a phase shift mask in a step and repeat optical tool where the phase assignment for said phase shift mask is determined by a technique which determines, without assignment conflict, the Intersection of the gate pattern with the active gate pattern and which divides the Intersection into categories of stacks where a slightly different phase assignment rules is employed for the different stacks.

Patent
02 Aug 1996
TL;DR: A phase shift mask, e.g., halftone phase shift masks as discussed by the authors, is a mask that can suppress the occurrence of a sub-peak of light intensity, which has an adverse effect on the image formation, and has a light blocking pattern with a reduced transmittance at a region outside a device pattern area which corresponds to a region subjected to multiple exposure during transfer effected by using the mask.
Abstract: A phase shift mask, e.g. a halftone phase shift mask, which need not to form an ultra-fine pattern and is capable of suppressing during exposure the occurrence of a sub-peak of light intensity, which has an adverse effect on the image formation, and which has a light-blocking pattern with a reduced transmittance at a region outside a device pattern area which corresponds to a region subjected to multiple exposure during transfer effected by using the mask. The halftone phase shift mask has on a transparent substrate (101) a halftone phase shift film (102) comprising a single layer or a plurality of layers. The composition of the halftone phase shift film (102) is changed in a region (107) outside a device pattern area on the transparent substrate (101) which corresponds to a multiple-exposure region by a method wherein the region (107) is irradiated with an electromagnetic wave, a particle beam, heat rays, etc., or a method wherein after a region in which the composition is not desired to change has been masked, the whole blank is exposed to an active atmosphere, thereby reducing the transmittance for exposure light at the region (107).

Patent
19 Jul 1996
TL;DR: An attenuated phase shift mask comprises a first layer having a thickness to provide a transmission in the range of about 3 to 10% formed on a transparent substrate and a second layer comprising a transparent material having an attenuated material having a desired phase shift, formed on said first layer.
Abstract: An attenuated phase shift mask comprises a first layer having a thickness to provide a transmission in the range of about 3 to 10% formed on a transparent substrate and a second layer comprising a transparent material having a thickness to provide a desired phase shift, formed on said first layer For a phase shift of 180° and i-line wavelength (365 nm) where chromium is used as the first layer, then a thickness within the range of about 25 to 75 nm is employed; where silicon dioxide is used as the second layer; then a thickness of about 400 to 450 nm is employed While the oxide may be dry-etched, an isotropic wet etch provides superior aerial images

Journal ArticleDOI
TL;DR: In this paper, the attenuated phase shift mask approach may prove to be one of the less complex techniques available, and four materials are presented which may meet optical and process requirements for use as attenuated phases shift mask films: a molybdenum silicon oxide composite, an aluminum/aluminum nitride cermet, an understoichiometric silicon nitride, and a tantalum silicon dioxide composite.
Abstract: In order to push resolution toward diffraction limits for 248 and 193 nm lithography, it is likely that some combination of optical enhancement may be needed. The attenuated phase shift mask approach may prove to be one of the less complex techniques available. Four materials are presented which may meet optical and process requirements for use as attenuated phase shift mask films: a molybdenum silicon oxide composite, an aluminum/aluminum nitride cermet, an understoichiometric silicon nitride, and a tantalum silicon oxide composite. All of these materials are shown to be capable of 4%–15% transmission at 193 nm with thicknesses that produce a π phase shift. Evaluation of addition film properties including plasma reactive ion etch and long wavelength transmission helps in establishing materials which may be most production worthy.

Patent
13 Feb 1996
TL;DR: In this paper, a technique to minimize an increase in the design and manufacture times required for making phase shift masks is provided. But this technique is limited to the first phase shift mask and cannot be applied to other phases of the semiconductor substrate.
Abstract: A technique to minimize an increase in the design and manufacture times required for making phase shift masks is provided. The process of the technique involves preparing a hole unit cell comprising one target hole and auxiliary holes located close to the four sides of the target hole, and then laying out on first layout data first hole unit cells 26c1 -26c3 arranged in a certain orientation at a first pitch and second hole unit cells 27c1 -27c3 arranged in the same orientation at a second pitch, narrower than the first pitch. This process generates data of hole groups, each comprising the target hole and auxiliary holes on a first phase shift mask that is used in forming hole patterns in a resist film coated over the semiconductor substrate.

Patent
17 Sep 1996
TL;DR: A phase shift mask provided with an alignment error measuring pattern, which is a phase shift film pattern portion formed in a space defined between dense patterns of the mask having an alternating type pattern structure, can be measured by checking, through a microscope, a wafer provided with a pattern formed using the mask as mentioned in this paper.
Abstract: A phase shift mask provided with an alignment error measuring pattern which is a phase shift film pattern portion formed in a space defined between dense patterns of the phase shift mask having an alternating type pattern structure so that an error in alignment between a chromium pattern and a phase shift film pattern occurring in the fabrication of the phase shift mask can be measured by checking, through a microscope, a wafer provided with a pattern formed using the phase shift mask. The phase shift film pattern portion is arranged on the central portion of a quartz substrate.

Patent
13 Sep 1996
TL;DR: In this article, a phase shift mask is constructed by providing a transparent substrate, forming a conductive light shielding layer on the transparent substrate and implanting oxygen ions into the conductive layer to form a semitransparent film.
Abstract: Methods of fabricating phase shift masks, which facilitate easy adjustment of the light transmissivity of a field region and the thickness of a phase shift mask, to thereby simplify the production process, and increase its reliability and performance. Embodiments may include the steps of providing a transparent substrate, forming a conductive light shielding layer on the transparent substrate, implanting oxygen ions into the conductive light shielding layer to form a semitransparent film, and selectively etching the semitransparent film to form a phase shift film.

Patent
17 Jul 1996
TL;DR: An attenuated phase shift mask (PSM) blank and an attenuated Phase Shift Mask (M) may be formed as mentioned in this paper, and a method by which the attenuated PSM blank and attenuated PSS mask may be created is presented.
Abstract: An attenuated Phase Shift Mask (PSM) blank and an attenuated Phase Shift Mask (PSM), and a method by which the attenuated Phase Shift Mask (PSM) blank and the attenuated Phase Shift Mask (PSM) may be formed. To form the attenuated Phase Shift Mask (PSM) blank there is first provided a transparent substrate. Formed upon the transparent substrate is a tantalum-silicon oxide blanket semi-transparent shifter layer which has the formula, Ta.sub.x Si.sub.y O.sub.1-x-y wherein 0.1

Patent
09 Dec 1996
TL;DR: In this paper, a method and apparatus of fabricating photomasks is presented, which is capable of phase-shifting incident light by various degrees, which may be 0°, 60°, 120°, and 180°.
Abstract: The present invention provides a method and apparatus of fabricating photomasks. The photomasks may be fabricated from a photomask blank structure having multiple layers. Upon patterning of these multiple layers by standard photolithographic processes, a photomask is created which is capable of phase-shifting incident light by various degrees, which may be 0°, 60°, 120°, and 180°.

Patent
23 Oct 1996
TL;DR: In this paper, a phase shift mask (200) comprising a transparent portion (4) that includes a molybdenum silicide nitride (MSI nitride) film having a phase difference of 180° and a transmissivity of 2 % - 5 %.
Abstract: A phase shift mask (200) comprising a transparent portion (4) that includes a molybdenum silicide nitride or molybdenum silicide oxide film (4) having a phase difference of 180° and a transmissivity of 2 % - 5 %. In the manufacture of the transparent portion, sputtering is applied to deposit the film of molydenum silicide oxide or molybdenum silicide nitride. This mask has better resolution than the conventional attenuation phase shift mask having a transmissivity of 5 % - 40 %, and prevents the deformation of resist parttern which is ascribed to the generation of side lobe around the resist pattern.

Patent
17 Jun 1996
TL;DR: In this article, the phase shifting mask uses phase shifting material between alternating pairs of parallel opaque lines to prevent phase conflict from causing bridging at the ends of the lines, and the mask uses Opaque fine tips formed as extensions to the opaque parallel lines on the mask.
Abstract: Masks and methods of forming the masks for avoiding phase conflict problems in phase shifting masks used to form a number of parallel line and space patterns on a semiconductor wafer using positive photoresist. The mask uses phase shifting material between alternating pairs of parallel opaque lines. Opaque fine tips formed as extensions to the opaque parallel lines on the mask prevent phase conflict from causing bridging at the ends of the lines. The methods of forming the masks use part of the transparent substrate or an added layer as the phase shifting material.

Journal ArticleDOI
TL;DR: In this article, a method based on optimal expansions is described for making an order of magnitude speed up in the analysis of partial coherence effects of scattering in optical lithography, inspection, and alignment.
Abstract: A method based on optimal expansions is described for making an order of magnitude speed up in the analysis of partial coherence effects of scattering in optical lithography, inspection, and alignment. This method expands the incident mutual intensity from the illumination into coherent nonuniform plane waves whose effects can be added incoherently. For three‐dimensional structures, the CPU time is reduced by an order of magnitude over the uniform plane wave approach of Abbe. For two‐dimensional structures, the number of simulations with the decomposition technique has been found to be about three times smaller than with Abbe’s approach. The method has been incorporated into TEMPEST and shown to give accurate results and reduced CPU time in applications of imaging an attenuated phase shift mask, patterning of gates over an active area well in silicon, and inspection of a trench in silicon dioxide, where the CPU time savings are most significant due to large NA’s and high σ’s. Results for an embedded phase...

Patent
17 May 1996
TL;DR: In this article, a halftone type phase shift mask constituted to easily and efficiently prevent such an incident that the etching of a substrate is resulted at the time of production and that the deviating of the phase difference obtd. with finished products from a design value is resulted.
Abstract: PURPOSE: To provide a halftone type phase shift mask constituted to easily and efficiently prevent such an incident that the etching of a substrate is resulted at the time of production and that the deviating of the phase difference obtd. with finished products from a design value is resulted. CONSTITUTION: This phase shift mask has the substrate transparent to exposing light and optical patterns which consist of a material translucent to exposing light and are formed to impart optical phase differences to the exposing light transmitted through the substrate. These optical patterns are formed by providing the surface of the substrate 1 with a chromium compd. layer 2 and a molybdenum nitroxide silicide layer 3 in this order. More preferably the chromium compd. 2 is either of chromium nitroxide or chromium nitrooxicarbide.

Patent
07 May 1996
TL;DR: In this article, a phase shift mask is designed to have a deep focal depth with which a stable pattern of a photosensitive resin having a more perpendicular cross section of the pattern can be formed.
Abstract: PURPOSE: To provide a phase shift mask having a deep focal depth with which a stable pattern of a photosensitive resin having a more perpendicular cross section of the pattern can be formed, and to provide a measuring method of phase difference by which the phase difference in a practical fine pattern can be measured even in a halftone phase shift mask CONSTITUTION: This phase shift mask is obtd by partly forming a translucent film 2 on a transparent substrate 1 so that the mask has a pattern having a transparent area 3 where light transmits only through the transparent substrate 1 and a translucent area 4 where light transmits through the transparent substrate 1 and the translucent film 2 The phase difference between the light transmitting through the transparent area 3 and the light transmitting through the translucent area 4 is specified to the range of 185 ±4 deg Thereby, a pattern having a perpendicular cross section can be formed as an aperture in a photosensitive resin The phase difference may be specified to the range from 150 to 179 deg

Patent
22 Nov 1996
TL;DR: In this article, a halftone type phase shift mask blank is formed by providing the surface of a transparent substrate (quartz glass, etc.) 1 with a conductive layer 2 (which may be transparent or translucent) having transparency at need and laminating a light translucent phase shift masks pattern forming layer 3 and the light shieldable metallic layer 4 for defect inspection thereon.
Abstract: PURPOSE: To make it possible to estimate and inspect the presence or absence of defects by transmitted light using visible light by forming a metallic layer consisting of metal, such as chromium, having imperfect light shieldability on a phase shift mask pattern forming layer. CONSTITUTION: This halftone type phase shift mask blank is formed by providing the surface of a transparent substrate (quartz glass, etc.) 1 with a conductive layer 2 (which may be transparent or translucent) having transparency at need and laminating a light translucent phase shift mask pattern forming layer 3 and the light shieldable metallic layer 4 for defect inspection thereon. While the front surface of the transparent substrate 1 and the laminated patterns is exposed and scanned with beam light of a prescribed irradiation light quantity by a beam scanning and exposing device which is installed on one side of the transparent substrate 1 and emits the beam light of a visible light wavelength, the quantity of the transmitted light transmitting through the substrate and patterns described above is measured by an actinometer (photosensor) which is installed below the transparent substrate 1 and operates cooperatively with the exposure and scan and the transmittance thereof is detected. The presence or absence of the defect of the laminated patterns by the light translucent phase shift mask pattern layer and the metallic layer for defect scan is inspected.

Patent
19 Jan 1996
TL;DR: In this article, a halftone phase shift mask consisting of a light transmissive region 12 and half-light shielding regions 14 is used to suppress generation of sub-peaks.
Abstract: PURPOSE:To provide a halftone phase shift mask which is capable of suppressing generation of sub-peaks and has a high phase shift effect and to provide a resist exposing method which uses such halftone phase shift mask. CONSTITUTION:This halftone phase shift mask consists of a light transmissive region 12 and half-light shielding regions 14. The phase difference of the light passing the light transmissive region. 12 and the light passing these half-light shielding regions 14 is not 180 deg.. The phase difference of the light is preferably 100 to 130 deg.C. This resist exposing method comprises exposing a resist material formed on a substrate by using the halftone type phase shift mask which consists of the light transmissive region and the half-light shielding regions and with which the phase difference of the light passing the light transmissive region, and the light passing these half-light shielding regions is not 180 deg..

Journal ArticleDOI
TL;DR: In this paper, two new methods have been proposed to optimize the focused ion beam (FIB) technique for repair of phase shift masks (PSMs), which require only a change of sputtering or beam scanning strategy.

Patent
03 Sep 1996
TL;DR: In this paper, the structure of an alternate element phase shift mask and its fabrication is provided by using a mask board to provide the structure for phase shift masks and their fabrication on a wafer.
Abstract: PROBLEM TO BE SOLVED: To provide the structure of an alternate element phase shift mask and its fabrication. SOLUTION: All mask pattern elements extended in the X-direction are formed on a first mask board, and all mask pattern elements extended in the Y-direction are formed on a second mask board. Two mask boards are combined into a single mask for the single exposing process on a wafer, or individual masks are separately exposed, and they are overlapped on a single mask wafer.