scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2000"


Patent
20 Nov 2000
TL;DR: In this paper, a halftone phase shift mask blank was used to produce a fine photomask pattern with high accuracy for ArF exposure, and the mask thickness and composition of the light shielding film was selected to obtain the optical density OD of the film in the range of 1.2 to 2.3 with respect to light at 193 or 248 nm wavelength.
Abstract: PROBLEM TO BE SOLVED: To provide a halftone type phase shift mask on which a fine photomask pattern is formed with high accuracy, and to provide a mask blank for producing the above mask. SOLUTION: A semitransparent film 15 having a predetermined phase shift and transmittance to exposure light and a light shielding film 12 placed on the semitransparent film 15 are disposed on one principal face of a transparent substrate 11 such as quartz as a photomask substrate. The light shielding film 12 is surely a so-called "light shielding film" but it can also act as an antireflection film. The semitransparent film 15 is a halftone phase shift layer comprising a halftone material containing both of silicon (Si) and molybdenum (Mo) as an absorbent material. In order to use the halftone phase shift mask blank for producing a mask for ArF exposure, the film thickness and composition of the light shielding film 12 are selected to obtain the optical density OD of the film in the range of 1.2 to 2.3 with respect to light at 193 or 248 nm wavelength. COPYRIGHT: (C)2007,JPO&INPIT

64 citations


Proceedings ArticleDOI
05 Jul 2000
TL;DR: In this paper, the authors performed experiments and simulations to determine which pitches are forbidden for 130nm and 110nm features on an ASML PAS5500/700 with different reticle types, including annular, Quasar, binary mask, phase shift mask, attenuated PSM and alternating PSM.
Abstract: Experiments and simulations were done to determine which pitches are forbidden for 130nm and 110nm features. Off axis illumination, annular and Quasar, and different reticle types, binary mask (BIM), 6 percent attenuating phase shift mask (PSM), 18 percent attenuating PSM, and alternating PSM were simulated and were exposed on an ASML PAS5500/700. Except for the 1:1 line to space ratio, Quasar for the BIM and the attenuated PSM had the largest process window without forbidden pitches. By increasing the transmission the exposure latitude increases. Increasing transmission, however, does not improve the depth of focus (DOF). Annular illumination was ineffective in increasing the DOF beyond 0.5micrometers for both the 130nm and 110nm features. The alternating PSM with low sigma had no forbidden pitches and had the largest DOF. Alternating PSM with high sigma however, was unable to resolve the dense pitches with sufficient process window.

56 citations


Patent
Asano Mitsuyo1, Kanamitsu Shingo1
14 Mar 2000
TL;DR: In this article, a new method for repairing pattern defect on a photo mask is provided, which includes the steps of determining the irradiation area of the focused ion beam (FIB) directed towards a defect, by narrowing the irradiated area by a predetermined distance inwardly from the edge of the defect.
Abstract: A new method for repairing pattern defect on a photo mask is provided. The method includes the steps of: (a) determining the irradiation area of the focused ion beam (FIB) directed towards a defect, by narrowing the irradiation area by a predetermined distance inwardly from the edge of the defect; (b) focusing the FIB onto its irradiation area to remove a part of the pattern film material of the defect from its top surface and thus leave a thin layer on a mask substrate; and (c) removing the thin layer by using a laser beam. The defect may be an isolated pattern or a pattern extended continuously from an edge of the normal pattern. Further, the photo mask repaired by the method, and a manufacturing method of semiconductor devices employing the repaired photo mask are proposed. The photo mask may include a phase shift mask.

35 citations


Patent
26 Sep 2000
TL;DR: In this article, techniques for extending the use of phase shift techniques to implementation of masks used for complex layouts in the layers of integrated circuits, beyond selected critical dimension features such as transistor gates, have been provided.
Abstract: Techniques are provided for extending the use of phase shift techniques to implementation of masks used for complex layouts in the layers of integrated circuits, beyond selected critical dimension features such as transistor gates to which such structures have been limited in the past. The method includes identifying features for which phase shifting can be applied, automatically mapping the phase shifting regions for implementation of such features, resolving phase conflicts which might occur according to a given design rule, and application of sub-resolution assist features within phase shift regions and optical proximity correction features to phase shift regions. Both opaque field phase shift masks and complementary binary masks defining interconnect structures and other types of structures that are not defined using phase shifting, necessary for completion of the layout of the layer are produced.

27 citations


Proceedings ArticleDOI
23 Jun 2000
TL;DR: In this article, the authors surveyed the commercial resist market with the dual purpose of identifying the general categories of commercial resists that have potential for use as tool evaluation resist and to baseline these resists for comparison against future 157 nm resist candidates.
Abstract: Lithography at 157 nm represents the next evolutionary step in the Great Optical Continuum and is currently under investigation as a possible successor to 193-nm lithography. If successful, the photoresists used for this technology must be initially capable of 100-nm resolution and be extendable to less than 70 nm. Unfortunately, as with the transition to shorter wavelengths in the past, the photoresist materials developed for longer wavelengths appear to be too absorbent for practical use as a traditional high resolution single layer resist imageable with 157 nm radiation. Until new photoresist materials are developed that are sufficiently transparent to be used as single layer resists, the existing need for a resist to be used to evaluate 157 nm imaging systems, such as the prototype steppers now under development, will have to be met by employing existing resists. We have surveyed the commercial resist market with the dual purpose of identifying the general categories of commercial resists that have potential for use as tool evaluation resist and to baseline these resists for comparison against future 157 nm resist candidates. Little difference was observed in the 157- nm absorbance between different classes of resists with most resists having an absorbance between 6 and 8 per micron. Due to the high absorbance at 157 nm of polyhydroxystyrene, polyacrylate, and polycyclic copolymer based resists, the coated resist thickness will need to be under 100 nm. All four commercial resists evaluated for imaging at 157 nm showed that they are capable of acting as a tool testing resist to identify issues attributed focus, illumination, and vibration. Finally, an improved tool testing resist can be developed within the existing resist material base, that is capable of 100 nm imaging with a binary mask and 70 nm imaging with a phase shift mask. Minor formulation modification can greatly improve resist performance including improved resolution and reduced line edge roughness.

23 citations


Patent
12 Oct 2000
TL;DR: In this article, an optical projection lithography method, photomasks, and optical photolithography mask blanks for use in optical photolisography systems utilizing deep ultraviolet light (DUV) wavelengths below 300 nm was proposed.
Abstract: The invention provides optical projection lithography methods, photolithography photomasks, and optical photolithography mask blanks for use in optical photolithography systems utilizing deep ultraviolet light (DUV) wavelengths below 300 nm, such as DUV projection lithography systems utilizing wavelengths in the 248 nm region and the 193 nm region. The invention provides improved production of lithography patterns by inhibiting polarization mode dispersion of lithography light utilizing low birefringence mask blanks and photomasks.

21 citations


Patent
28 Feb 2000
TL;DR: In this article, a method and apparatus for correcting phase shift defects in a photomask is provided by scanning the image for the defect and determining locations of at least one defect.
Abstract: A method and apparatus for correcting phase shift defects in a photomask is provided by scanning the photomask for the defect and determining locations of at least one defect. Following the determination of the location of a defect, the defect is three-dimensionally analyzed producing three-dimensional results. Utilizing the three-dimensional results, a focus ion beam (FIB) is directed onto the defect to eliminate the defect. The FIB is controlled by an etch map which is generated based on the three-dimensional results. To provide further precision to the repairing of the photomask, test patterns of the FIB are generated and three-dimensionally analyzed. The three-dimensional test pattern results are further utilized in generating the etch map to provide greater control to the FIB.

21 citations


Patent
24 Mar 2000
TL;DR: In this article, a photomask consists of a substrate 1 and a grating layer 4 formed on at least a part of the substrate 1 to absorb the light during projection exposure.
Abstract: PROBLEM TO BE SOLVED: To control the complex amplitude transmittance by a single method and to realize a super resolution method including phase modulation which is used for a phase shift mask method by modulating the complex amplitude. SOLUTION: This photomask consists of a photomask substrate 1 and a grating layer 4 formed on at least a part of the photomask substrate 1. The grating layer 4 consists of a periodical structure 5 having a grating pitch T smaller than the wavelength of the light used for projection exposure. At least one medium constituting the grating layer 4 consists of an absorptive medium 2 which partly absorbs the light during projection exposure. In the periodical structure 5, the thickness of the grating layer 4 is almost constant and the absorptive medium 2 has a square cross section. COPYRIGHT: (C)2001,JPO

20 citations


Proceedings ArticleDOI
05 Jul 2000
TL;DR: Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce line end shortening, corner rounding and provide process window enhancements for some pitches and that as the transmission is increased for line feature, the Normalized image log slope increases for all pitches.
Abstract: Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce line end shortening, corner rounding and provide process window enhancements for some pitches. They also indicate that as the transmission is increased for line feature, the Normalized image log slope increases for all pitches. In this work the performance of 33 percent and 20 percent attenuated masks has been compared against 6 percent and binary masks. Imaging results were obtained for 160nm features at various pitches with a 0.6NA 248nm SVGL MSIII with conventional and annular illumination. Performance of high transmission in terms of Depth of Focus, overlapping process windows, exposure latitude and proximity effects with the various percent transmissions. Critical issues such as manufacturing of tri-tone masks, inspection, repair and material availability for high transmission masks will be addressed.

18 citations


Journal ArticleDOI
TL;DR: The patterning of 100 nm features via contact photolithography is described in this article, where a conformable embedded-amplitude mask and pattern transfer into a tri-layer resist with this mask are presented.

16 citations


Patent
12 Sep 2000
TL;DR: In this article, a method of manufacturing the phase shift mask blanks is characterized, in which a process step of continuously depositing thin films on the transparent substrates by using a sputtering method includes a series of processes to form the thin films for forming patterns in the sputtering chamber and ejecting the respective transparent substrate after the deposition out of the spatiotemporal chamber are successively carried out with plural sheets of the substrates and the transfer-in and out of transparent substrate are carried out approximately at specified intervals, thereby, the deposition time is made constant among plural sheets
Abstract: PROBLEM TO BE SOLVED: To provide a method, etc., of manufacturing phase shift mask blanks which is capable of decreasing the variations in phase angle and transmittance among the blanks as far as possible and good in yield. SOLUTION: The method of manufacturing the phase shift mask blanks is characterized in that a process step of continuously depositing thin films on the transparent substrates by using a sputtering method includes a process step in which a series of processes to form the thin films for forming patterns in a sputtering chamber and to eject the respective transparent substrates after the deposition out of the sputtering chamber are successively carried out with plural sheets of the substrates and the transfer-in and out of the transparent substrates are carried out approximately at specified intervals, thereby, the deposition time is made constant among plural sheets of the blanks. COPYRIGHT: (C)2002,JPO

Patent
09 Feb 2000
TL;DR: In this article, the phase shift mask having patterns which are formed on a transparent substrate 3 and consist of light shielding film forming parts to allow the transmission of light in an antiphase with respect to light shielding non-forming parts has been proposed to suppress the critical dimension differences between the dense and coarse segments of resist patterns generated in consequence of a flare at the exposure.
Abstract: PROBLEM TO BE SOLVED: To suppress the critical dimension differences between the dense and coarse segments of resist patterns generated in consequence of a flare at the exposure, relating to an attenuated type shift mask. SOLUTION: The phase shift mask having patterns which are formed on a transparent substrate 3 and consist of light shielding film forming parts to allow the transmission of light in an antiphase with respect to light shielding film non-forming parts has a first pattern 2a consisting of the light shielding film formed in a first region A on the transparent substrate 3 and a second pattern 2b consisting of the light shielding film formed in a second region B having the light shielding film coverage smaller than the light shielding film coverage of the first region A on the transparent substrate 3. The light transmittance of the first pattern 2a within the first region A is made larger than the light transmittance of the second pattern 2b within the second region B. COPYRIGHT: (C)2001,JPO

01 Jan 2000
TL;DR: In this paper, the use of phase shift masks can improve CD control and allow the patterning of smaller poly gate features, but it also introduces new error terms for overlay, such as increased sensitivity of image placement to coma-type aberrations, image placement shifts resulting from phase errors, image placements resulting from intensity imbalance between zero and 180 degree shifter regions, and phase shift mask to trim mask overlay issues.
Abstract: While the use of phase shift masks can improve CD control and allow the patterning of smaller poly gate features, it also introduces new error terms for overlay. Four error terms are discussed: increased sensitivity of image placement to coma-type aberrations, image placement shifts resulting from phase errors, image placement shifts resulting from intensity imbalance between zero and 180 degree shifter regions, and phase shift mask to trim mask overlay issues. These overlay issues become increasingly important for lower kl patterning. Likewise, phase defect printability is magnified for lower kl patterning, increasing the requirements for phase shift mask inspection and repair.

Journal ArticleDOI
TL;DR: In this article, the authors used simulation of electromagnetic scattering and imaging to assess the tendency of nonplanar phase defects to print in optical lithography with alternating phase-shifting masks.
Abstract: Rigorous simulation of electromagnetic scattering and imaging are used to assess the tendency of nonplanar phase defects to print in optical lithography with alternating phase-shifting masks. The ideal model for phase defects, which assumes that the fields are transmitted with unaltered amplitude and a phase shift of 180°, is proven inaccurate through simulation of isolated defects. The brightness and the phase are found to depend strongly not only on their height but on the lateral size as well. Data are also presented on a variety of defect shapes, sizes, heights, positions, and their impact when located near mask features. Linewidth variations due to lines/posts and scratches/holes are typically 30% larger and 50% smaller, respectively, than these predicted from an ideal geometrical mask model.

Patent
22 Feb 2000
TL;DR: In this paper, an alternating phase shift reticle for a capacitor layout scheme for a memory device and a method for its fabrication is disclosed. And the reticle can be used to produce densely packed capacitor features, in which the variance between the actual exposure pattern and the desired exposure pattern is reduced.
Abstract: An alternating phase shift reticle for a capacitor layout scheme for a memory device and a method for its fabrication is disclosed. The alternating phase shift mask has regions of 0 and 180 degree phase shifts arranged in a way such that all sides of each region corresponding to a given phase shift value are bounded by areas corresponding to an opposite phase shift value. The reticle can be used to produce densely packed capacitor features, in which the variance between the actual exposure pattern and the desired exposure pattern is reduced.

Patent
19 Jun 2000
TL;DR: In this paper, an improved phase-shift photomask and method of fabrication are described, which involves depositing an opaque film such as chromium (Cr), on a transparent plate, such as SiO2 (quartz plate), and an electron beam photoresist layer is deposited on the Cr film and is partially exposed in regions A and completely exposed in closely spaced alternate regions B by electron beam.
Abstract: An improved phase-shift photomask and method of fabrication are described. The method for making this phase-shift mask involves depositing an opaque film, such as chromium (Cr), on a transparent plate, such as SiO2 (quartz plate). An electron beam photoresist layer is deposited on the Cr film and is partially exposed in regions A and completely exposed in closely spaced alternate regions B by an electron beam. The exposed photoresist is then developed. The Cr film is etched in regions B while the remaining resist in regions A protect the Cr from etching. The e-bean resist is plasma etched back to remove the resist over regions A and then the quartz plate in regions B is recessed to a depth d by plasma etching while the Cr protects the quartz in regions A from etching. The recess is etched to a depth to provide an optical path difference between A and B of ½ wavelength (180°) when UV light is transmitted through the mask to expose resist on a product substrate. This 180° phase-shift minimizes the diffracted light under the Cr film between regions A and B and improves the DOF and therefore the photoresist resolution. Since a single e-beam resist is used to make the mask it is more manufacturing cost effective with improved alignment accuracy between regions A and B.

Proceedings ArticleDOI
05 Jul 2000
TL;DR: Sub-50 nm isolated line pattern is successfully formed by KrF lithography with DOF larger than 05 micrometers as mentioned in this paper. This is performed by using a phase edge type phase shift mask, a special photo resist and a partial dry ashing process.
Abstract: Sub-50 nm isolated line pattern is successfully formed by KrF lithography with DOF larger than 05 micrometers This is performed by using a phase edge type phase shift mask, a special photo resist and a partial dry ashing process Because all of these elemental techniques currently becomes mature, this method is one of promising candidates for sub- 50 nm isolated line pattern formation As a conclusion, we consider KrF lithography can be extended to sub-50 nm high speed logic node

Proceedings ArticleDOI
T. Terasawa1
09 Jun 2000
TL;DR: In this paper, various types of phase shift mask (PSM) techniques and their imaging characteristics are discussed and compared to conventional binary mask technique and optical proximity effect correction (OPC) technique and a phase shifter pattern design tool are established.
Abstract: Fabrication of fine features of smaller than 0.15 /spl mu/m is vital for future ultra-large scale integrated (ULSI) devices. An area of particular concern is whether and how optical lithography can delineate such feature sizes, i.e., smaller than the exposure wavelength. Resolution enhancement techniques for achieving subwavelength optical lithography are presented. Various types of phase shift mask (PSM) techniques and their imaging characteristics are discussed and compared to conventional binary mask technique. To apply these masks effectively to practical patterns, optical proximity effect correction (OPC) technique and a phase shifter pattern design tool must be established. These techniques offer the capability to improve resolution to exceed the wavelength limitation and to increase depth of focus.

Patent
Jeong-Kweon Park1
28 Dec 2000
TL;DR: In this article, a phase shift mask and a fabrication method thereof are used in a semiconductor light exposing process, where a CD (Critical Dimension) formed on a wafer is the same in all directions, even when a pattern is arranged on the mask at an anisotropic pitch.
Abstract: A phase shift mask and a fabrication method thereof are used in a semiconductor light exposing process, where a CD (Critical Dimension) formed on a wafer is the same in all directions, even when a pattern is arranged on the mask at an anisotropic pitch. The phase shift mask includes a number of light transmitting regions and first and second phase shift regions arranged among the light transmitting regions. The first and second phase shift regions have a refractive index different from that of the light transmitting region. The first and second phase shift regions also have a transmittance different from each other.

Patent
07 Apr 2000
TL;DR: In this paper, a phase shift mask provided with a low reflectivity type light-shielding layer 4 in which a light shielding pattern 5 and an alignment mark 6' for drawing are provided and with the phase shift pattern provided below the light-helming layer 4, the reflectivity of an alignment marks 6 part for drawing of the light shield layer 4 is selectively enhanced by removing a low-reflectivity layer 3 to expose a high reflectivity layer 2 that is in the lower layer of the low reflectivities film 3 or selectively providing a high reflective layer on the alignment mark
Abstract: PROBLEM TO BE SOLVED: To provide highly accurate alignment mark for drawing which enables highly accurate alignment mark drawing without adversely affecting the side of a drawing device or an optimized resist process. SOLUTION: In this phase shift mask provided with a low reflectivity type light-shielding layer 4 in which a light-shielding pattern 5 and an alignment mark 6' for drawing are provided and with a phase shift pattern provided below the light-shielding layer 4, the reflectivity of an alignment mark 6 part for drawing of the light-shielding layer 4 is selectively enhanced by removing a low reflectivity layer 3 to expose a high reflectivity layer 2 that is in the lower layer of the low reflectivity film 3 or selectively providing a high reflectivity layer on the alignment mark 6.

Journal ArticleDOI
TL;DR: In this article, the mask error enhancement factor (MEF) is analyzed through an imaging theory, and simple equations for finding the MEFs for partially coherent imaging are developed. Periodic line pattern images projected by an alternating phase shift mask (PSM), an attenuated PSM, and a binary mask were evaluated under several illumination conditions and the MEF for each set of mask exposure conditions was predicted using these simple equations.
Abstract: The mask error enhancement factor (MEF) is analyzed through an imaging theory, and simple equations for finding the MEFs for partially coherent imaging are developed. Periodic line pattern images projected by an alternating phase shift mask (PSM), an attenuated PSM, and a binary mask were evaluated under several illumination conditions and the MEF for each set of mask exposure conditions was predicted using these simple equations. With a binary mask or attenuated PSM, three-beam interference imaging for equal lines and spaces was found to provide an MEF of 0.5, while the two-beam interference provided an MEF of 1.0 due to the absence of -1st or +1st order diffracted waves. When the ratio of illumination components to eliminate both the -1st and +1st order diffracted waves increased due to fine features, the MEF increased dramatically. On the other hand, the MEF of an alternating PSM was found to be 1 under two-beam interference for projecting equal lines and spaces, and increased when the -1st or +1st order diffracted wave was eliminated. Our results suggest that the phase edge PSM should be advantageous because the MEF can be reduced to less than 0.5.

Patent
12 Sep 2000
TL;DR: In this paper, a DC magnetron sputtering device for phase shift mask blanks is presented, where a target surface is arranged to face downward with respect to the gravity direction in order to provide a manufacturing apparatus, manufacturing method, etc., capable of manufacturing blanks of ≤ 0.1 pieces per square inch.
Abstract: PROBLEM TO BE SOLVED: To provide a manufacturing apparatus, manufacturing method, etc., capable of manufacturing phase shift mask blanks of ≤0.1 pieces per square inch in the total number of particles and pinholes of a diameter larger about half the exposure wavelength in optical semi-transmittable films. SOLUTION: For example, a target surface is arranged to face downward with respect to the gravity direction in a DC magnetron sputtering device for the manufacturing halftone type phase shift mask blanks and an angle portion 5a of a target end and an angle portion of an earth shield are subjected to curved surface working (rounding) by using a full-surface erosion cathode, and the target end 5b, an exposed backing plate surface 4b and the surface of the earth shield 12 are roughened. The earth shield 12 is arranged nearer the upper part (backing plate surface) than the target surface d. COPYRIGHT: (C)2002,JPO

Patent
01 Feb 2000
TL;DR: The double alternating phase shift mask (DASM) as mentioned in this paper is a kind of mask which can from another phase shift layer between contact holes in the region of easy forming ghost lines in which the phase shift angle is 0 and counterbalance the diffraction light source and prevent the generation of ghost lines.
Abstract: A kind of double alternating phase shifting mask which can from another phase shift layer between contact holes in the region of easy forming ghost lines in which the phase shift angle is 0 and counterbalance the diffraction light source and reduce the side lobe effect and prevent the generation of ghost lines. The double alternating phase shift mask comprises at least: quartz substrate, the first phase shift layer and the second phase shift layer. The first phase shift layer is configured on part of the region of quartz substrate and expose part of quartz substrate to form the contact hole pattern. The second phase shift layer is configured on the quartz substrate between contact hole patterns and the second phase shift layer and the neighbored contact hole patterns can be kept at optimal distance, that is the second phase shift layer is located at the common shape center of neighbored contact hole pattern.

Patent
16 Nov 2000
TL;DR: In this paper, a Levenson phase shift mask 4 is subjected to projection exposure and the intensity distribution of the light which transmitted through the levenson mask is detected by a CCD camera 6, to obtain the relation between the defocus quantity on the camera 6 and the dimension of the optical pattern.
Abstract: PROBLEM TO BE SOLVED: To provide a method for correcting the device pattern of a mask by which the exposure pattern on a wafer can rapidly simulated with high accuracy and the device pattern can be corrected with high accuracy. SOLUTION: A Levenson phase shift mask 4 is subjected to projection exposure and the intensity distribution of the light which transmitted through the Levenson phase shift mask 4 is detected by a CCD camera 6, to obtain the relation between the defocus quantity on the CCD camera 6 and the dimension of the optical pattern. The phase difference abnormality and transmittance difference abnormality are detected, base on the relation to correct the device pattern of the Levenson phase shift mask 4. In the correction process, the relation between the retreating amount of the sidewall from the edge of the light shielding film in the shifter part and the transmittance difference is preliminarily obtained, and then the retreating amount of the sidewall to give zero difference in the transmittance is determined, based on the relation to correct the device pattern of the mask.

Patent
30 Aug 2000
TL;DR: In this paper, the relationship between the pattern and the position shift of the pattern to be transferred by exposure is obtained by simulation, and the optical conditions and the mask structure that can suppress the pattern position shift within a required range are obtained in consideration of the error at the time of manufacturing the mask.
Abstract: (57) [Summary] [PROBLEMS] When performing exposure using a phase shift mask, while minimizing the displacement of a transfer pattern while improving the lithography process allowance, the transfer position accuracy is improved. SOLUTION: When manufacturing a Levenson phase shift mask, optical conditions (numerical aperture, partial coherence factor, etc.) of an optical system of an exposure apparatus used for exposure and a mask structure (substrate digging amount, thickness of a phase shifter, etc.). ) The relationship between the pattern and the position shift of the pattern to be transferred by exposure is obtained by simulation, and the optical conditions and the mask structure that can suppress the pattern position shift within a required range are obtained in consideration of the error at the time of manufacturing the mask. It is verified whether required exposure latitude and depth of focus can be obtained for the obtained optical conditions and mask structure, and this is repeated until a good result is obtained. When a good result is obtained, the optical conditions and the mask structure are determined, the optical conditions of the exposure apparatus are set to the conditions, and the mask is manufactured so as to obtain the mask structure.

Patent
30 May 2000
TL;DR: In this article, a thin film (lower layer film) is formed on a transparent glass substrate and then the thin film is dry-etched with the fluorine-containing gas to produce a halftone type phase shift mark.
Abstract: PROBLEM TO BE SOLVED: To improve resolving power and phase-contrast accuracy of a pattern. SOLUTION: A thin film (lower layer film) 2, which is easily dry-etched with a chlorine-containing gas and is hard to be dry-etched with a fluorine- containing gas, is formed on a transparent glass substrate 1 and a thin film (upper-layer film) 3 which is hard to be dry-etched with the chlorine-containing gas and is easily dry-etched with the fluorine-containing gas is formed on the thin film (lower-layer film) 2 to produce an object blank 10 for a halftone type phase shift mark. The thin film (upper-layer film) 3 is dry-etched with the fluorine-containing gas to form a thin-film (upper-layer film) pattern 3a and then the thin film (lower-layer film) 2 is dry-etched with the chlorine-containing gas to form a thin film (lower-layer film) pattern 2a. The object halftone type phase shift mask 20 is thus obtained. COPYRIGHT: (C)2001,JPO

Patent
18 Jul 2000
TL;DR: In this paper, an alignment monitor is used to test the alignment between the mask pattern and the phase-shifting pattern in an alternating phase shifting photomask, where an opaque layer is provided overlying a substrate and a phase shifting pattern is formed on the substrate.
Abstract: A new process for fabricating an alternating phase-shifting photomask having an alignment monitor is described. An opaque layer is provided overlying a substrate. The opaque layer is patterned to provide a mask pattern. A phase-shifting pattern is formed on the substrate wherein a portion of the phase-shifting pattern comprises an alignment monitor whereby alignment between the mask pattern and the phase-shifting pattern can be tested.

Patent
Ching-Shiun Chiu1
14 Jun 2000
TL;DR: In this article, a two-step process is used to form an equivalent shifter layer with about the same light transmittance and phase angle shift as an original, non-defective shifter mask.
Abstract: A method for repairing shifter layer defects in a phase shifting mask. A two step process is used to form an equivalent shifter layer with about the same light transmittance and phase angle shift as an original, non-defective shifter layer. (Typically for a DUV APSM, transmittance is about 6% and phase angle shift is about 180 degrees.) The first step is to etch the quartz substrate in a focus ion beam repair machine, using XeF 2 gas, to cause a leading phase angle shift. The second step is to deposit an equivalent shifter layer in-situ in the focus ion beam repair machine, using a carbon based gas. When the equivalent shifter layer has about the same transmittance as the original shifter layer (e.g. 6%), the phase angle is lagging less than 180 degrees. The leading phase angle shift caused by etching the quartz substrate and the lagging phase angle caused by the equivalent shifter layer combine to produce a phase angle 180 degrees leading.

Proceedings ArticleDOI
21 Jul 2000
TL;DR: In this article, the authors compared different phase-shifting technologies for XRL, such as clear phase mask, attenuated phase shifting mask, and alternating aperture phase shifting masks through computer simulation.
Abstract: Phase-shifting masks have been applied in optical lithography and various phase-shifting techniques in X-ray Lithography (XRL) have been demonstrated. In this study, we compare different phase-shifting technologies for XRL, such as clear phase mask, attenuated phase-shifting mask and alternating aperture phase-shifting mask through computer simulation. The control of critical dimension is of primary importance as the CD shrinks to the sub-100 nm region. We have reported our design and fabrication of a more robust X-ray Phase Mask, which is capable of sub-70 nm imaging. The processing latitude of this design is investigated in terms of the X-ray source broadening, phase-shifter uniformity, mask-to-wafer gap and sidewall slope of the phase-shifter. The latitude is compared with those results from an attenuated phase shifting mask and an alternating aperture phase shifting mask.

Patent
25 Apr 2000
TL;DR: In this article, a phase shift mask is formed by forming phase shift patterns on the flat main surface of a transparent plate and coating the ends of the phase shift pattern with light shielding film patterns of a dielectric substance, high resistor or organic material having the reflectivity smaller than the reflectivities of a metallic film of Cr, etc., is used and the mask patterns are transferred by projection exposing to a photosensitive material formed on the surface of work piece.
Abstract: PROBLEM TO BE SOLVED: To provide a fine pattern forming method which obviates the occurrence of the deterioration in dimensional accuracy by the influence of reflected light, etc., from a light shielding body surface in projection exposing using a phase shift mask having high phase angle controllability. SOLUTION: The mask formed by forming phase shift patterns on the flat main surface of a transparent plate and coating the ends of the phase shift patterns with light shielding film patterns of a dielectric substance, high resistor or organic material having the reflectivity smaller than the reflectivity of a metallic film of Cr, etc., is used and the mask patterns are transferred by projection exposing to a photosensitive material formed on the surface of a work piece.