scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2001"


Patent
27 Apr 2001
TL;DR: In this article, a method of forming a hybrid mask for optically transferring a lithographic pattern corresponding to an integrated circuit from the mask onto a semiconductor substrate by use of an optical exposure tool is described.
Abstract: A method of forming a hybrid mask for optically transferring a lithographic pattern corresponding to an integrated circuit from the mask onto a semiconductor substrate by use of an optical exposure tool. The method includes the steps of forming at least one non-critical feature on the mask utilizing one of a low-transmission phase-shift mask (pattern) and a non-phase shifting mask (pattern), and forming at least one critical feature on the mask utilizing a high-transmission phase-shift mask (pattern).

151 citations


Proceedings ArticleDOI
14 Sep 2001
TL;DR: In this article, a simulation of the light diffraction from a phase shift mask is combined with standard lithography imaging algorithms to explore the interaction of topography effects and wave aberrations.
Abstract: Both mask design and quality of the projection optics have a large impact on the performance of a phase shift mask (PSM). Topographic features on the reticle such as etched trenches in alternating PSM produce a spectrum of the diffracted light which differs from that one of an infinitely thin amplitude/phase object, as it is assumed in standard imaging algorithms. Many authors have investigated the consequences of this phenomenon with respect to aberration free imaging. However, the diffraction of light from topographic features implies also a modified interaction between the mask and wave aberrations of the projector. Rigorous simulation of the light diffraction from the mask is combined with standard lithography imaging algorithms to explore the interaction of topography effects and wave aberrations. For example, the nominal shift of a phase edge in the final resist profile can result both from topography effects and/or from odd-order wave aberrations such as tilt and coma. The sensitivity of typical lithographic parameters with respect to topography parameters and typical wave aberrations is investigated. PSM are also used for the monitoring of aberrations. Neglecting the topography of these phase objects may result in a misinterpretation of aberration phenomena. Consequences of rigorous diffraction defects for the design and interpretation of phase objects in aberration monitors will be discussed.

94 citations


Patent
13 Jul 2001
TL;DR: In this paper, the authors describe methods and apparatuses for preparing layouts and masks that use phase shifting to enable production of subwavelength features on an integrated circuit in close (optical) proximity to other structures.
Abstract: Methods and apparatuses for preparing layouts and masks that use phase shifting to enable production of subwavelength features on an integrated circuit in close (optical) proximity to other structures are described. One embodiment selects from several strategies for resolving conflicts between phase shifters used to define features and (optically) proximate structures that are being defined other than by phase shifting. One embodiment adds additional phase shifters to define the conflicting structures. Another embodiment optically corrects the shape of the phase shifters in proximity to a conflicting structure. Resulting integrated circuits can include a greater number of subwavelength features even in areas that are in close proximity to structures that were not initially identified for production using a phase shifting mask.

51 citations


Patent
13 Dec 2001
TL;DR: In this paper, a method and apparatus for deep sub-micron layout optimization is described, where a first mask (e.g., a phase shift mask) is generated that includes the component to be manufactured using the phase shifting process.
Abstract: A method and apparatus for deep sub-micron layout optimization is described. Components of an integrated circuit (IC) design (e.g., gates) can be identified and manufactured using a phase shifting process to improve circuit density and/or performance as compared to a circuit manufactured without using phase shifting processes. In one embodiment, a first mask (e.g., a phase shift mask) is generated that includes the component to be manufactured using the phase shifting process. A second mask (e.g., a trim mask) is also generated to further process the structure created using the first mask. Both masks are defined based on a region (e.g., a diffusion region) in a different layer of the integrated circuit layout than the structure (e.g., the gate) being created with the phase shifting process.

46 citations


Patent
10 Aug 2001
TL;DR: In this paper, the phase shift mask blank of halftone type with the semi-transparent film formed on a transparent substrate is presented. And the thermal process for the semi transparent film is carried out at a temp of not lower than 150 degC.
Abstract: PROBLEM TO BE SOLVED: To provide a method of manufacturing a phase shift mask blank having a semi-transparent film or a portion which has a prescribed phase angle and prescribed transmission, and superior film characteristics such as resistance to chemicals, resistance to light, and low in internal stress SOLUTION: The method is to manufacture the phase shift mask blank of halftone type with the semi-transparent film formed on a transparent substrate The semi-transparent film is made of a thin film mainly composed of nitrogen, metal and silicon, and formed on the transparent substrate Then, the thermal process for the semi-transparent film is carried out at a temp of not lower than 150 degC

40 citations


Patent
15 Feb 2001
TL;DR: In this paper, a phase shift mask blank is defined as a multilayer film consisting of at least four layers of different compositions, wherein the interface between the layers is moderately graded in composition.
Abstract: A photomask blank comprising a multilayer film including at least four layers of different compositions, wherein the interface between the layers is moderately graded in composition; a phase shift mask blank comprising a phase shift film of at least two layers including a surface layer of a composition based on a zirconium silicide compound and a substrate adjacent layer of a composition based on a molybdenum silicide compound, and a further layer between one layer and another layer of a different composition, the further layer having a composition moderately graded from that of the one layer to that of the other layer; a phase shift mask blank comprising a phase shift film including a plurality of layers containing a metal and silicon in different compositional ratios which are stacked in such order that a layer having a higher etching rate is on the substrate side and a layer having a lower etching rate is on the surface side. The invention provides a photomask blank, typically a phase shift mask blank, which satisfies optical properties such as transmittance, reflectance and refractive index at an exposure wavelength of interest, and has an etched pattern with a minimal line edge roughness, and a photomask, typically a phase shift mask obtained therefrom.

38 citations


Patent
26 Dec 2001
TL;DR: In this article, the phase shifter film is formed of a film containing main constituting elements of silicon, oxygen, and nitrogen, and contains 35 to 45% of silicon in atomic percentage, and a total amount of the elements occupies at least 90% or more of a whole composition constituting the phase shift portion.
Abstract: In a phase shift mask blank, a desired transmittance and phase shift amount are given in the vicinity of 157 nm as a wavelength of an F 2 excimer laser. The phase shift mask blank has a phase shifter film satisfactory in a resistance to exposure light irradiation, resistance to chemicals, processability, moldability, and shape stability. The halftone phase shift mask blank having the phase shifter film on a transparent substrate is used in an exposure light wavelength range of 140 nm to 200 nm, the phase shifter film is formed of a film containing main constituting elements of silicon, oxygen, and nitrogen, and contains 35 to 45% of silicon, 1 to 60% of oxygen, and 5 to 60% of nitrogen in atomic percentage, and a total amount of the elements occupies at least 90% or more of a whole composition constituting the phase shifter portion.

36 citations


Patent
07 Jun 2001
Abstract: A reticle inspection system and method for complete and fast inspection of phase shift mask reticles, both for incoming inspection and for periodic and pre-exposure inspection tool, is employable by facilities such as mask shops as an inspection tool compatible to the mask shop's customers. The inventive system and method detect phase errors in an aerial image by acquiring the image of the phase shift mask under the same optical conditions as the exposure conditions (i.e. wavelength, numerical aperture, sigma, and illumination aperture type). Images are acquired at a positive out-of-focus and a negative out-of-focus, and are compared in order to enhance possible phase error. The term “phase error” refers to the acceptable range of the phase deviation from the programmed 180° on the phase shift mask, by using the exposure system to achieve the image on the photoresist, satisfying the requirements of the wafer specification.

36 citations


Patent
27 Dec 2001
TL;DR: In this paper, a wafer with a first grating and a second grating structure formed in a photoresist layer is used to detect misregistration between the two grating structures based on the reflection profile.
Abstract: A method includes providing a wafer having a first grating structure and a second grating structure formed in a photoresist layer. At least a portion of the first and second grating structures is illuminated with a light source. Light reflected from the illuminated portion of the first and second grating structures is measured to generate a reflection profile. Misregistration between the first and second grating structures is determined based on the reflection profile. A processing line includes a photolithography stepper, a metrology tool, and a controller. The photolithography stepper is adapted to process wafers in accordance with an operating recipe. The metrology tool is adapted to receive a wafer processed in the stepper. The wafer has a first grating structure and a second grating structure formed in a photoresist layer. The metrology tool includes a light source, a detector, and a data processing unit.

32 citations


Patent
11 Jan 2001
TL;DR: A phase shift mask blank has a phase shift film of MoSiOC or MoSiONC on a transparent substrate, and optionally a chromium-based light-shielding film or a multilayer combination of both as mentioned in this paper.
Abstract: A phase shift mask blank has a phase shift film of MoSiOC or MoSiONC on a transparent substrate, and optionally a chromium-based light-shielding film, a chromium-based antireflection film or a multilayer combination of both on the phase shift film. A manufacture method involving depositing the MoSi base phase shift film by a reactive sputtering technique using a sputtering gas containing carbon dioxide produces a phase shift mask blank and phase shift mask of quality, with advantages of in-plane uniformity and easy control during manufacture.

29 citations


Proceedings ArticleDOI
14 Sep 2001
TL;DR: In this article, the authors compared the performance of the SCAA mask with the asymmetric bias mask in terms of phase and transmission errors in the case of a non-zero central diffraction order.
Abstract: Our previous work showed that for 100nm lines, the Sidewall Chrome Alternating Aperture (SCAA) mask structure could overcome the problem of transmission and phase imbalance among multiple pitch structures. In that work, we explained the SCAA mask concept, showed a brief electromagnetic field (EMF) simulated comparison to two subtractive etch techniques and proposed a fabrication paradigm that could make SCAA a reality. What we did not show, however, was the detail of our EMF simulation work for any of these masks. Our current work provides this missing item and explores across pitch performance at 248nm wavelength for several masks meant to optimize alternating phase-shift (altPSM) mask phase and transmission: SCAA, asymmetric lateral biased, additive, undercut, dual trench (with and without undercut), mask-phase-only, and uncompensated. First, we discuss why vector electromagnetic field (EMF) simulation is necessary. Then we describe a typical optimization approach. There we describe how two simulators, ProMAX (FINLE Technologies, Inc.) and TEMPESTpr (Panoramic Technologies), were set up to reduce grid snapping and other simulation pitfalls, as well as EMF output analysis and topography optimization techniques using one mask type as an example. The optimization approach was to find the best topography for the 100:200nm line:space mask of each type according to the phase and transmission errors extracted from the EMF simulated diffraction orders. Because phase and transmission errors in an alternating PSM are both coupled to the existence of a non-zero central diffraction order, we screened mask topographies according to the zero diffraction order power, relative to power in the first orders. Monitoring the central diffraction order did prove be a useful technique for optimizing topographies because it is a single attribute that correlates to both phase and transmission errors, which are coupled and thus difficult to optimize concurrently. The same topography adjustments from the 300nm pitch optimization were then applied through pitch with fixed 100nm line. Next we summarize the EMF results for each mask compensation technique. Mask types were ranked according to best sum of central diffraction order power through pitch, effectively ranking phase and transmission performance across pitch by mask type. The highest ranking masks were SCAA (with 15nm ARC on chrome and no topography adjustments from ideal) and the asymmetric biased mask (with no ARC but with 40nm increase in each side of shifter space width at mask scale). The lowest performing masks were dual-trench (mainly because of phase errors) and the unadjusted mask (mainly due to transmission errors). Finally we move from EMF to lithographic simulation of the best two masks according to EMF simulation. For SCAA and asymmetric bias we examine the NILS and MEEF (with line size 90nm, 100nm, and 110nm) for 300nm pitch. Responses for the process window analysis include resist linewidth, resist retention, sidewall angle and feature placement. The analysis showed that SCAA and optimized asymmetric bias had identical NILS through focus, but that image CD was less sensitive to focus on a SCAA mask than on an asymmetric biased mask. The MEEF results were 0.9 for both masks, while SCAA had better depth of focus than the asymmetric biased mask for single line sizes. While the asymmetric biased mask is simpler to build with existing mask production processes, it requires EMF simulation to determine optimum topography (as do all the other compensation techniques in this study). SCAA requires a non-standard chrome deposition, but performed well according to lithographic simulations without any EMF simulation and topography adjustment. Both SCAA and asymmetric biased masks, it should be noted, did not require any undercut. Future work aimed at the most promising altPSM mask types is needed to further quantify sensitivity to expected fabrication variations and to gain experience with physical wafer prints.

Proceedings ArticleDOI
01 Apr 2001
TL;DR: A solution to extend the traditional constraint-based layout migration and legalization approach is proposed and has been demonstrated to be very effective in practice.
Abstract: The use of phase shifted mask (PSM) has been demonstrated to be a powerful resolution enhancement technique (RET) for the printing of features at dimensions below the exposure wavelength in deep submicron technologies. Its implementation in physical design has introduced non-conventional design ground rules, which impact the traditional layout migration process and designers productivity. In this panel discussion paper, we propose a solution to extend the traditional constraint-based layout migration and legalization approach. The solution has been demonstrated to be very effective in practice.

Patent
12 Mar 2001
TL;DR: In this article, an attenuated phase shift mask utilizes a multilayer which has been locally modified to provide different reflective characteristics, such as heat treatment or e-beam treatment.
Abstract: An attenuated phase shift mask utilizes a multilayer which has been locally modified. Heat treatment or e-beam treatment can locally modify the multilayer to provide different reflective characteristics. The attenuated phase shift mask can be utilized in EUV applications.

Patent
28 Dec 2001
TL;DR: In this paper, the halftone type phase shift mask blank is used to improve the dimensional accuracy of the mask pattern by using a blank having a laminated structure of the haloftone material film and a light shielding film.
Abstract: PROBLEM TO BE SOLVED: To improve the dimensional accuracy of a halftone material film pattern of a halftone type phase shift mask manufactured by using a blank having a laminated structure of the halftone material film and a light shielding film. SOLUTION: The halftone type phase shift mask blank 1 having the halftone material film 3 on a transparent substrate 1 and the light shielding film consisting of a metallic film 4 and antireflection film 5 formed on the halftone material film 3 is formed by depositing the light shielding film in such a manner that the film thickness thereof attains a range from 50 to 77 nanometers. As a result, the difference in the film stress before and after the removal of the light shielding film is reduced in manufacturing the halftone type phase shift mask. COPYRIGHT: (C)2003,JPO

Patent
19 Mar 2001
TL;DR: In this article, a method of manufacturing an electron device provided with minute structure such as a semiconductor integrated circuit using projection exposure technique and phase shift mask technique, maintaining a high yield is disclosed.
Abstract: A method of manufacturing an electron device provided with minute structure such as a semiconductor integrated circuit using projection exposure technique and phase shift mask technique, maintaining a high yield is disclosed. In an electron device manufacturing method according to the invention, a desired electron device is manufactured by printing a light shielding film pattern on a photosensitive film provided on the surface of a workpiece by a projection tool using a mask where a phase shifter having predetermined thickness is partially formed on the flat surface of a transparent plate and a light shielding film having a predetermined pattern and made of non-metal is partially provided with the film covering the end of the shifter and developing the photosensitive film. Further, concretely, the above pattern is printed using a mask where the light shielding film made of non-metal is partially extended on the surface of the shifter and the transparent plate including the end of the shifter by the projection tool. According to the electron device manufacturing method according to the invention, an electron device provided with minute structure can be precisely manufactured maintaining a high yield.

Patent
24 Apr 2001
TL;DR: In this article, a phase shift mask has a desired pattern and a dummy pattern which is overlapped with the desired pattern, and has periodicity, and a part which is to be resolved by the effect of the dummy pattern is made wider than the line width of the mask pattern, thus forming the phase-shift mask.
Abstract: PROBLEM TO BE SOLVED: To provide an exposing method and equipment which can expose a mask pattern having fine (e.g. at most 0.15 μm) line width, with high resolution without changing a mask, in which mask pattern various kinds of patterns, L and S patterns, isolated and complicated patterns exist mixedly. SOLUTION: A phase shift mask has a desired pattern and a dummy pattern which is overlapped with the desired pattern and has periodicity. Out of the desired pattern, a part which is to be resolved by the effect of the dummy pattern is made wider than the line width of the dummy pattern, thus forming the phase shift mask. By using an illumination light which has a peak of intensity distribution in the vicinity of an optical axis, the phase shift mask is illuminated. A light which passed the phase shift mask is projected on a surface to be exposed via a projection optical system. As a result, the desired pattern is transferred on the surface to be exposed. COPYRIGHT: (C)2003,JPO

Patent
08 Mar 2001
TL;DR: In this paper, a method and system is proposed to produce alternating phase shift masks with different levels of resolution for multiple feature classes. But, the method is limited to a single feature class and requires the first and second phase shift values to be assigned to phase shift windows.
Abstract: A method and system produce alternating phase shift masks multiple phase shift mask resolution levels for multiple feature classes. The method comprises: processing a pattern for a photolithographic mask that defines a layer, wherein said pattern defines features in first and second feature classes in the layer; defining first layout dimensions for phase shift window pairs for a first feature resolution level, and second layout dimensions for phase shift window pairs for a second feature resolution level; laying out a plurality of phase shift window pairs, including using said first layout dimensions for phase shift window pairs for the first feature class, and using said second layout dimensions for phase shift window pairs for the second feature class; and assigning first and second phase shift values to phase shift windows in the plurality of phase shift window pairs. The process results in the production of set of masks for defining a layer of material in an integrated circuit or other work piece. The set of masks comprises a first mask having a plurality of phase shifting window pairs in an opaque field for defining respective phase shift window defined structures in the layer. The first mask has a plurality of phase shifting windows in an opaque field for defining respective phase-shift window defined structures in said layer. The phase shift windows in said plurality comprise respective first and second classes of windows, the first class having a width dimension based upon a first layout width, and the second class having a width dimension based on a second layout width, said layout width being greater than said second layout width.

Patent
Osamu Nozawa1
03 Dec 2001
TL;DR: In this article, a laser beam is irradiated onto a workpiece to only heat the light absorption film without any temperature rise of the substrate and to anneal an internal stress of the light absorbing film.
Abstract: In a method of annealing a workpiece which has a substrate and a light absorption film on the substrate, a laser beam is irradiated onto a workpiece to only heat the light absorption film without any temperature rise of the substrate and to anneal an internal stress of the light absorption film. A wavelength of the laser beam is selected so as to be absorbed into the light absorption film. The workpiece may be a photo mask blank (or a photo mask) or a phase shift mask blank (or a phase shift mask).

Patent
30 Nov 2001
TL;DR: An embedded attenuated phase shift mask (EAPSM) as discussed by the authors includes an etch stop layer that can be plasma etched in a process that is highly selective to the underlying quartz substrate.
Abstract: An embedded attenuated phase shift mask (“EAPSM”) includes an etch stop layer that can be plasma etched in a process that is highly selective to the underlying quartz substrate. Selectivity to the underlying quartz maintains a desired 180 degree phase shift uniformly across the active mask area. Conventional plasma etching techniques can be utilized without damage to the underlying quartz substrate. Alternatively, the etch stop layer comprises a transparent material that can remain intact in the mask structure.

Patent
16 Oct 2001
TL;DR: In this paper, a method to determine a mask correction unit 3 based on pattern space dependency 7 in the pattern obtained in the photolithographic process and etching process is presented.
Abstract: The present invention is a method capable of fabricating photomasks with improved control of gate line width wafers. More specifically a method is provided to determine a mask correction unit 3 based on pattern space dependency 7 in the pattern obtained in the photolithographic process and etching process, and correct the mask fabrication design data 1 utilizing the mask correction unit 3, and fabricate photomasks using photolithographic equipment.

Patent
21 Dec 2001
TL;DR: In this article, a polarization condition selecting unit 20 for selecting the polarization direction of illumination light with respect to the phase shift mask 10 is provided in parallel with the direction of the compositional side of a pattern formed on the mask 10 at the time of projection mapping.
Abstract: PROBLEM TO BE SOLVED: To conquer the difficulty of mask production while enhancing resolution in the exposure of a gate line, or the like, of a severe dimensional rule when a desired pattern is obtained by projection mapping using a phase shift mask. SOLUTION: In an aligner arranged to obtain a desired pattern by projection mapping using a phase shift mask 10, a polarization condition selecting unit 20 for selecting the polarization direction of illumination light with respect to the phase shift mask 10 is provided in parallel with the direction of the compositional side of a pattern formed on the phase shift mask 10 at the time of projection mapping. COPYRIGHT: (C)2003,JPO

Patent
Haruo Iwasaki1, Shinji Ishida1
14 Nov 2001
TL;DR: In this article, a phase shifter is implemented by thin transparent portions and a non-phase shifter implemented by thick transparent portions, and the thin/thick transparent portions are reshaped so as to repair the Levenson phase shift mask.
Abstract: A Levenson phase shift mask has a phase shifter implemented by thin transparent portions and a non-phase shifter implemented by thick transparent portions, and the thin transparent portions are to be equal in transmittance to and 180 degrees different in phase from the thick transparent portions, wherein a dispersion of light intensity in optical images of the phase shifter and the non-phase shifter obtained by a CCD camera is analyzed to see whether or not the abnormal difference in transmittance and the abnormal phase difference take place, if the abnormal difference in transmittance or the abnormal phase difference takes place, the thin/thick transparent portions are reshaped so as to repair the Levenson phase shift mask.

Patent
Hattori Sachiko1
06 Mar 2001
TL;DR: In this paper, an oxide film is formed on an antireflection film and selectively irradiated with light using a halftone phase shift mask to form resist patterns for a connecting hole and an overlay mark.
Abstract: The object of the present invention is to provide a method of manufacturing an improved semiconductor device in which overlay-accuracy can be enhanced even when a halftone mask is used. An oxide film is formed on an antireflection film. Resist films are selectively irradiated with light using a halftone phase shift mask. Subsequently, it is developed to form resist patterns for a connecting hole and an overlay mark. According to the, present invention, the provision of an antireflection film under an oxide film prevents formation of a ghost pattern in an overlay mark portion.

Patent
26 Jun 2001
TL;DR: In this paper, a concave-type capacitor formation method of semiconductor devices is provided to simplify manufacturing processes and to improve yield by forming a storage electrode using a micro-loading effect.
Abstract: PURPOSE: A concave-type capacitor formation method of semiconductor devices is provided to simplify manufacturing processes and to improve yield by forming a storage electrode using a micro-loading effect CONSTITUTION: A storage conductive layer is formed on a semiconductor substrate(111) A photoresist layer is coated on the storage conductive layer A photoresist pattern(113) is formed by exposing and developing the photoresist layer using a phase shift mask The phase shift mask includes a region(103) having 0 degree phase and a region(101) having 108 degree phase At this time, a latent image(105) has a dark intensity at the boundary between the region(103) having 0 degree phase and the region(101) having 108 degree phase Thereby, a planar structure of a storage electrode is formed at the boundary between the region(103) having 0 degree phase and the region(101) having 108 degree phase

Patent
18 Sep 2001
TL;DR: In this article, a system for monitoring and controlling aperture etching in an alternating aperture phase shift mask is presented, which includes one or more light sources, each light source directing light to one of the apertures etched on a mask.
Abstract: A system for monitoring and controlling aperture etching in an alternating aperture phase shift mask is provided. The system includes one or more light sources, each light source directing light to one or more apertures etched on a mask. Light reflected from the apertures is collected by a measuring system, which processes the collected light. The collected light is indicative of properties including the depth, width and/or profile of the openings on the mask. The measuring system provides such depth, width and/or profile related data to a processor that determines the acceptability of the aperture and/or the mask. The system also includes a plurality of etching devices associated with etching apertures in the mask. The processor may selectively control the etching devices so as to regulate aperture etching.

Patent
01 Mar 2001
TL;DR: In this article, the phase shift mask blank and the mask cap layer are formed on a transparent substrate to provide high performance and little change in the optical characteristics even for long-term use.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask blank and a phase shift mask with high performance and little changes in the optical characteristics even for long- term use. SOLUTION: In the phase shift mask blank having at least one layer of a phase shift film essentially comprising a metal and silicon on a transparent substrate, a cap layer essentially comprising a metal and silicon is formed on the phase shift film. Durability against an excimer laser is imparted to the phase shift mask having the cap layer so that the phase shift mask blank and the phase shift mask have high quality and do not change in the optical characteristics even when the blank or the mask are irradiated with excimer laser light for a long time. COPYRIGHT: (C)2002,JPO

Patent
26 Apr 2001
TL;DR: In this paper, a system and method of strong phase-shifting a beam from an actinic light source in a lithographic process is described, where the beam is passed through a mask producing a phaseshifted beam, and the phase shifted beam is directed at a substrate such as a semiconductor wafer.
Abstract: A system and method of strong phase-shifting a beam from an actinic light source in a lithographic process includes focusing a beam from the electromagnetic beam source onto a mask adapted to selectively phase-shift at least a portion of the beam according to a predetermined pattern. The beam is passed from the actinic light source through the mask producing a phase-shifted beam, and the phase-shifted beam is directed at a substrate such as a semiconductor wafer adapted to be selectively etched according to the predetermined pattern. The strong phase-shift serves to substantially eliminate zero-order light in the phase-shifted beam. Strong phase-shift mask techniques, through a two electromagnetic beam interference imaging process, are known in the art of microlithography to form imaging results for features of a size well below the limit of conventional prior art imaging.

Patent
12 Sep 2001
TL;DR: In this article, a half-tone phase shift mask blank and a half tone phase shift masks are provided, which have improved acid resistance, alkali resistance and resistance to excimer laser irradiation while maintaining the internal stress of the mask within an acceptable range for intended use.
Abstract: An object of the present invention is to provide a half-tone phase shift mask blank and a half-tone phase shift mask of which a translucent film has improved acid resistance, alkali resistance and resistance to excimer laser irradiation while maintaining the internal stress of the film within an acceptable range for the intended use. To achieve the aforementioned object, the present invention provides a half-tone phase shift mask blank which comprises a transparent substrate having provided thereon a translucent film comprising at least one thin layer containing silicon and at least one of nitrogen and oxygen and which is to be exposed to light whose center wavelength is 248 nm or shorter, wherein said translucent film is dense such that it has a center-line surface roughness (Ra) of 0.3 nm or smaller.

Patent
24 Jul 2001
TL;DR: In this article, a ternary lithographic att-PSM (half tone) photomask that allows to simultaneously expose a photoresist-coated semiconductor wafer with three different levels of light intensity during the photolithography process was disclosed.
Abstract: There is disclosed a ternary lithographic att-PSM (half tone) photomask that allows to simultaneously expose a photoresist-coated semiconductor wafer with three different levels of light intensity during the photolithography process. The improved photomask comprises a transparent plate having a patterned layer of a phase shift material (PSM) according to a first configuration formed thereon and a patterned layer of chromium according to a second configuration formed onto the patterned PSM layer. Each of said first and second configurations corresponds to a different masking level. Using this photomask, it is thus now possible to produce a photoresist layer having a corrugated surface in a single exposure pass of the semiconductor wafer during the photolithography process instead of using two photomasks and two exposure steps as usual.

Patent
12 Sep 2001
TL;DR: In this paper, a phase shift mask blank is manufactured with a light translucent film or light translucent portion having the designated phase angle and transmittance and being superior in film characteristics of chemical resistance, light resistance, and internal stress.
Abstract: To provide a method for manufacturing a phase shift mask blank having a light translucent film or a light translucent portion having the designated phase angle and transmittance and being superior in film characteristics of chemical resistance, light resistance, and internal stress. The invention is characterized by having a translucent film on a transparent substrate, wherein thermal treatment of the translucent film is implemented at more than 150° C. after forming the translucent film comprising nitrogen, metal, and silicon as a main component on said transparent substrate.