scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2003"


Patent
15 Jul 2003
TL;DR: In this article, a method of generating patterns of a pair of photomasks from a data set defining a circuit layout to be provided on a substrate includes identifying critical segments of the circuit layout on the substrate.
Abstract: A method of generating patterns of a pair of photomasks from a data set defining a circuit layout to be provided on a substrate includes identifying critical segments of the circuit layout to be provided on the substrate. Block mask patterns are generated and then legalized based on the identified critical segments. Thereafter, phase mask patterns are generated, legalized and colored. The legalized block mask patterns and the legalized phase mask patterns that have been colored define features of a block mask and an alternating phase shift mask, respectively, for use in a dual exposure method for patterning features in a resist layer of a substrate.

207 citations


Journal ArticleDOI
TL;DR: Here, the inexpensive fabrication of photoresist patterns that contain features of multiple and/or smoothly varying heights are demonstrated, which offer a low-cost alternative to present gray-scale photolithography approaches.
Abstract: The ability to produce three-dimensional (3D) microstructures is of increasing importance in the miniaturization of mechanical or fluidic devices, optical elements, self-assembling components, and tissue-engineering scaffolds, among others. Traditional photolithography, the most widely used process for microdevice fabrication, is ill-suited for 3D fabrication, because it is based on the illumination of a photosensitive layer through a “photomask” (a transparent plate that contains opaque, unalterable solid-state features), which inevitably results in features of uniform height. We have devised photomasks in which the light-absorbing features are made of fluids. Unlike in conventional photomasks, the opacity of the photomask features can be tailored to an arbitrary number of gray-scale levels, and their spatial pattern can be reconfigured in the time scale of seconds. Here we demonstrate the inexpensive fabrication of photoresist patterns that contain features of multiple and/or smoothly varying heights. For a given microfluidic photomask, the developed photoresist pattern can be predicted as a function of the dye concentrations and photomask dimensions. For selected applications, microfluidic photomasks offer a low-cost alternative to present gray-scale photolithography approaches.

148 citations


Proceedings ArticleDOI
22 Apr 2003
TL;DR: In this paper, an attenuated phase shift mask (attPSM) was periodically exposed on a 193 nm scanner and the relationship between the number of exposures (i.e., energy passed through the mask during exposures) versus defect growth was developed.
Abstract: Defect formation on advanced photomasks used for DUV lithography has introduced new challenges at low k/sub 1/ processes industry wide. Especially at 193 nm scanner exposure, the mask pattern surface, pellicle film and the enclosed space between the pellicle and pattern surface can create a highly reactive environment. This environment can become susceptible to defect growth during repetitive exposure of a mask on DUV lithography systems due to the flow of high energy through the mask. Due to increased number of fields on the wafer, a reticle used at a 300 mm wafer fab receives roughly double the number of exposures without any cool down period, as compared to the reticles in a 200 mm wafer fab. Therefore, 193 nm lithography processes at a 300 mm wafer fab put lithographers and defect engineers into an area of untested mask behavior. During the scope of this investigation, an attenuated phase shift mask (attPSM) was periodically exposed on a 193 nm scanner and the relationship between the number of exposures (i.e., energy passed through the mask during exposures) versus defect growth was developed. Finally, chemical analysis of these defects was performed in order to understand the mechanism of this "growth".

70 citations


Patent
24 Feb 2003
TL;DR: The halftone phase shift mask has an optical characteristic such that light beams passing through the light transmitting portion and through the phase shifter portion cancel each other in the vicinity of a boundary portion there between, thereby maintaining and improving an excellent contrast at the boundary portion of an exposure pattern to be transferred onto the surface of an object to be exposed as discussed by the authors.
Abstract: A halftone phase shift mask blank for use in manufacturing a halftone phase shift mask comprises a transparent substrate, a light transmitting portion formed on the substrate for transmitting an exposure light beam, a phase shifter portion formed on the substrate for transmitting a part of the exposure light beam as a transmitted light beam and for shifting a phase of the transmitted light beam by a predetermined amount, and a phase shifter film for forming the phase shifter portion. The halftone phase shift mask has an optical characteristic such that light beams passing through the light transmitting portion and through the phase shifter portion cancel each other in the vicinity of a boundary portion therebetween, thereby maintaining and improving an excellent contrast at a boundary portion of an exposure pattern to be transferred onto the surface of an object to be exposed. The phase shifter film comprises a film containing silicon, oxygen, and nitrogen as main components and an etching stopper film formed between the film and transparent substrate.

51 citations


Patent
24 Apr 2003
TL;DR: In a halftone-type phase shift mask blank having a phase shifter film 5, the phase adjustment layer 4 for primarily controlling the phase of exposure light, and a transmissivity adjustment layer 3 which is formed between a transparent substrate 2 and the phase adjusting layer 4 and primarily controls the transmissivities of exposure lights as discussed by the authors.
Abstract: In a halftone-type phase-shift mask blank having a phase shifter film 5 , the phase shifter film 5 has a phase adjustment layer 4 for primarily controlling the phase of exposure light, and a transmissivity adjustment layer 3 which is formed between a transparent substrate 2 and the phase adjustment layer 4 and primarily controls the transmissivity of exposure light. The transmissivity adjustment layer 3 has a thickness of 90 angstroms or less.

42 citations


Patent
05 Sep 2003
TL;DR: In this paper, a method of attenuating phase shift mask blanks for use in lithography is described. But this method requires the mask blank to be pre-computed.
Abstract: The present invention relates to attenuating phase shift mask blanks for use in lithography, a method of fabricating such a mask blank.

39 citations


Patent
16 Oct 2003
TL;DR: In this paper, an extreme ultraviolet phase shift mask with an attenuating phase shifter was used to attenuate radiation through a combination of absorption and destructive interference, and the method consisted projecting radiation having a wavelength less than 40 nanometers towards a mask having a plurality of openings through an attenuated phase shifters.
Abstract: Methods and apparatus are provided for extreme ultraviolet phase shift masks The apparatus comprises a substrate, a reflectance region, and an attenuating phase shifter The reflectance region overlies the substrate The attenuating phase shifter overlies the reflectance region The attenuating phase shifter includes a plurality of openings that expose portions of the reflectance region The attenuating phase shifter attenuates radiation through a combination of absorption and destructive interference The method comprises projecting radiation having a wavelength less than 40 nanometers towards a mask having a plurality of openings through an attenuating phase shifter The plurality of openings expose a reflectance region in the mask The attenuating phase shifter is less than 700 angstroms thick Radiation impinging on the reflectance region exposed by said plurality of openings is reflected whereas radiation impinging on the attenuating phase shifter is attenuated and shifted in phase The attenuating phase shifter attenuates using absorption and destructive interference

25 citations


Patent
15 Aug 2003
TL;DR: In this paper, a phase shift mask with an auxiliary mask was constructed by using an etching mask layer 3a for patterning the light shielding film 2 and removed in a third step as the final step so that the mask layer can protect the light shield film pattern 2a during engraving and etching the transparent substrate in the second step.
Abstract: PROBLEM TO BE SOLVED: To manufacture an auxiliary pattern type phase shift mask without decreasing the quality. SOLUTION: The method includes a first step of forming a light shielding film pattern 2a comprising a main opening 5 and an auxiliary opening 6 and a second step of engraving and etching a transparent substrate (forming an engraved part 8 in the substrate) so that the main opening and the auxiliary opening can be simultaneously exposed in the first process and favorable positioning accuracy of the both openings is obtained. By using an etching mask layer 3a for patterning the light shielding film 2, favorable processing accuracy of the light shielding film is obtained. Further, the etching mask layer 3a is removed in a third step as the final step so that the etching mask layer 3a can protect the light shielding film pattern 2a during engraving and etching the transparent substrate in the second step and that the light shielding film pattern 2a is prevented from being damaged during engraving and etching of the transparent substrate. COPYRIGHT: (C)2005,JPO&NCIPI

21 citations


Patent
28 Feb 2003
TL;DR: In this paper, a halftone type phase shift mask having a semitranslucent film pattern and a shielding film pattern provided on a transparent substrate in this order is constituted in such a manner that each of the reflectances of the transparent substrate, the semi-lucent film patterns and the shielding film patterns for an inspecting light represents a difference which can detect the semitranlucent mask pattern and the shield film pattern based on a reflected light generated when the inspecting light is irradiated on the mask.
Abstract: A halftone type phase shift mask having a semitranslucent film pattern and a shielding film pattern provided on a transparent substrate in this order is constituted in such a manner that each of the reflectances of the transparent substrate, the semitranslucent film pattern and the shielding film pattern for an inspecting light represents a difference which can detect the semitranslucent film pattern and the shielding film pattern based on a reflected light generated when the inspecting light is irradiated on the mask.

19 citations


Patent
24 Feb 2003
TL;DR: In this paper, a halftone type phase shift mask blank consisting of at least a phase shifter film having a predetermined transmittance for an exposed light and a predetermined phase difference for the transparent substrate is presented.
Abstract: A halftone type phase shift mask blank including, on a transparent substrate, at least a phase shifter film having a predetermined transmittance for an exposed light and a predetermined phase difference for the transparent substrate, wherein the phase shifter film is formed by a multilayer film in which films including at least two layers having an upper layer formed on the most surface side and a lower layer formed thereunder are provided, and a thickness of the upper layer is adjusted in such a manner that a refractive index of the film to be the upper layer is smaller than that of the film to be the lower layer and a surface reflectance for the inspecting light of the phase shifter film is maximized and approximates to a maximum.

19 citations


Proceedings ArticleDOI
10 Jul 2003
TL;DR: In this paper, an alternative RET approach, dense template phase shift lithography, that can substantially reduce the cost of optical RET has been proposed, which can also eliminate serious problems encountered in subwavelength lithography including optical proximity and spatial frequency effects.
Abstract: The steady move towards feature sizes ever deeper in the subwavelength regime has necessitated the increased use of aggressive resolution enhancement techniques (RET) in optical lithography. The use of ever more complex RET methods including strong phase shift masks and complex OPC has led to an alarming increase in the cost of photomasks, which cannot be amortized by many types of semiconductor applications. This paper reviews an alternative RET approach, dense template phase shift lithography, that can substantially reduce the cost of optical RET. The use of simple dense grating templates can also eliminate serious problems encountered in subwavelength lithography including optical proximity and spatial frequency effects. We show that, despite additional design rule restrictions and the use of multiple exposures per critical level, this type of lithography approach can make economic sense depending on the number of wafers produced per critical photomask.

Proceedings ArticleDOI
16 Jun 2003
TL;DR: In this paper, an additive structure is proposed for the alternating PSM, which does not require any etching of the glass substrate, and given an appropriate stack of materials, this structure provides a phase shift of 180 degrees and the same reflectance simultaneously.
Abstract: The printing of line patterns using an attenuated phase shift mask (PSM) and an alternating PSM was examined through simulations. The attenuated PSM consisted of a bilayer structure, in which multiple interference provides a large latitude for appropriate thicknesses of the absorber and buffer layers. The attenuated PSM provides greater depth of focus (DOF) for sparse lines. The alternating PSM has better aerial-image contrast not only for dense lines but also for isolated lines. An additive structure is proposed for the alternating PSM, which does not require any etching of the glass substrate. Given an appropriate stack of materials, this structure provides a phase shift of 180 degrees and the same reflectance simultaneously. In this study, the printability of 18-nm-wide lines was investigated for an attenuated PSM with annular illumination and an alternating PSM with normal illumination with a small σ of 0.3.

Patent
01 May 2003
TL;DR: The phase shift mask blank has a SiO x layer on the interface between the phase shift film and the metal containing film and has a layer having continuously varying composition of the film on the interfaces.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask blank having at least a phase shift film and a metal-containing film on a substrate, and to provide a phase shift mask in which the adhesion property between the phase shift film and the metal-containing film is improved. SOLUTION: The phase shift mask blank has, at least on a substrate, one or more layers of a phase shift film essentially comprising a metal silicide compound and one or more layers of a metal-containing film. The phase shift mask blank has a SiO x layer on the interface between the phase shift film and the metal containing film and has a layer having continuously varying composition of the film on the interface between the phase shift film and the SiO x layer. COPYRIGHT: (C)2005,JPO&NCIPI

Patent
23 Jun 2003
TL;DR: An alternating phase shift mask with dark loops was used in this article to define active areas or gate-lines in a DRAM chip, where the dark loops in the mask always separate first regions with 180° phase difference from second regions with 0° phase differences.
Abstract: An alternating phase shift mask with dark loops thereon, a memory array fabricated with the alternating phase shift mask, and a method of fabricating the memory. The dark loops in the mask always separate first regions with 180° phase difference from second regions with 0° phase difference to define active areas or gate-lines in a DRAM chip.

Patent
Kevin Cummings1
14 Jan 2003
TL;DR: A phase shift mask is provided with a layer of inorganic material that is etchable as mentioned in this paper, and the etch stop layer provides uniform etch depth of the pattern in the inorganic layer.
Abstract: Attenuating phase shift masks and alternating phase shift masks provide increased resolution of the apparatus by introducing a phase shift in the radiation transmitted between adjacent features of the pattern on the mask. A phase shift mask is provided with a layer of inorganic material that is etchable. The inorganic material layer is formed on a mask blank having a glass or quartz layer and an etch stop layer. The etch stop layer provides uniform etch depth of the pattern in the inorganic material layer as the etch stop layer is formed of a material that is not etched by the etching process. The phase shift mask may be provided with a layer of attenuating material instead of the resinous inorganic polymer layer. The features of the pattern of the phase shift mask may also be filled with an optically transparent or translucent material or with an opaque material having an index of refraction and a dielectric constant selected to reduce the boundary effect at side walls of features of the pattern. A device for use in an integrated circuit, an integrated optical system, magnetic domain memories, liquid-crystal display panels, and thin-film magnetic heads may be manufactured by exposing a radiation sensitive material on a substrate to a projection beam of radiation patterned with a phase shift mask having an etch stop layer and/or a pattern filled with optically transparent or translucent material or with an opaque material.

Patent
01 Jul 2003
TL;DR: In this article, an extreme ultraviolet phase shift mask that may be constituted practically by obtaining an appropriate combination of a refractive index with an absorption coefficient, even in the case of a reflection of an ultraviolet radiation, was disclosed.
Abstract: There is disclosed an extreme ultraviolet phase shift mask that may be constituted practically by obtaining an appropriate combination of a refractive index with an absorption coefficient, even in the case of a reflection of an extreme ultraviolet radiation. When constituting a phase shift mask ( 10 ) having a reflective mask blank with multilayered films ( 11 ) that reflects a short ultraviolet light and a first and a second regions ( 12 a ) and ( 12 b ) formed on the reflective mask blank with multilayered films ( 11 ), firstly, with reference to an arbitrary complex refractive index to the extreme ultraviolet radiation and an arbitrary thickness of a film, a phase and a reflectance of a reflected light contained in the extreme ultraviolet radiation based on the above complex refractive index and the above film thickness are specified. Then, each film thickness and each complex refractive index in formative films of the first and the second regions ( 12 a ) and ( 12 b ) are set based on the specific results of the phase and the reflectance to ensure that the reflected light contained in the exposure light in the first region ( 12 a ) and the reflected light contained in the exposure light in the second region ( 12 b ) create a prescribed phase difference.

Patent
13 Sep 2003
TL;DR: In this article, a phase shift mask (PSM) has a first phase shifted section, a half tone section, and a second phase shifted region, which are shifted 180 degrees with the second phase shift region.
Abstract: A structure, a method of fabricating and a method of using a phase shift mask (PSM) having a first phase shifted section, a half tone section, and a second phase shifted section. The first phase shift section and the half tone section are shifted 180 degrees with the second phase shift region. Embodiments provide for (1) a half tone, single trench alternating phase shift mask and (2) a half tone, dual trench alternating phase shift mask. The half tone region provides advantages over conventional alternating phase shift masks.

Patent
04 Apr 2003
TL;DR: A photolithographic mask for receiving light at a wavelength, phase, and intensity and printing a desired image on a substrate with an optical system is presented in this paper, where the mask is formed on an optically transmissive substrate, called mask blank.
Abstract: A photolithographic mask for receiving light at a wavelength, phase, and intensity and printing a desired image on a substrate with an optical system The mask is formed on an optically transmissive substrate, called a mask blank The mask blank is preferably one hundred percent transmissive of the light intensity at the wavelength At least one layer of an attenuated material that is at least partially transmissive to the wavelength of the light is formed on the optically transmissive substrate The at least one layer of the attenuated material preferably blocks from about fifty percent to about ninety-four percent of the intensity of the light at the wavelength, whereas the prior art masks use materials that block about six percent of the intensity of the light at the wavelength The attenuated material defines three feature types on the mask, including a primary image having edges, a scattering bar disposed near the edges of the primary image, and a background region The primary image represents the desired image to be printed on the substrate The scattering bar is adapted to enhance a contrast of the primary image and to at least reduce the intensity of the light at the edges of the primary image The background region is adapted to block the light without using a material that is non transmissive to the light, such as chrome By “block the light” it is meant that the background region substantially and preferably reduces the intensity of the light passing through the background region to about zero

Patent
Tim Pinkerton1, Wen-hao Cheng1
17 Oct 2003
TL;DR: A chromeless APSM structure may be used to enable the pitch of features on the mask to be decreased by removing the chrome line between features, and thus remove the limit based on the size of the chrome lines as discussed by the authors.
Abstract: A chromeless APSM structure may be used to enable the pitch of features on the mask to be decreased by removing the chrome line between features, and thus remove the limit based on the size of the chrome line. The chromeless APSM may include primary features surrounded by a boundary region including sub resolution features. A relatively high precision lithography tool may be used in a first lithography step to print the features in the chromeless APSM structure. The boundary region may allow for a less precise lithography tool to be used in a second lithography step.

Patent
08 Oct 2003
TL;DR: A halftone phase shift mask blank has a phase shifter film composed of a metal silicide compound containing Mo, at least one metal selected from Ta, Zr, Cr and W.
Abstract: A halftone phase shift mask blank has a phase shifter film on a transparent substrate The phase shifter film is composed of a metal silicide compound containing Mo, at least one metal selected from Ta, Zr, Cr and W, and at least one element selected from O, N and C The halftone phase shift mask blank has improved processability and high resistance to chemicals, especially to alkaline chemicals

Patent
31 Mar 2003
TL;DR: In this article, the phase shift mask blank has a phase shift film formed on a substrate which is transparent to exposure light, and the mask pattern is formed by reactive ion etching (RIE).
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask blank showing good perpendicularity of the cross-sectional form of an etched part when a mask pattern is formed by etching, in particular, when the mask pattern is formed by reactive ion etching (RIE), and to provide a phase shift mask obtained by using the blank and a method for manufacturing them. SOLUTION: The phase shift mask blank has a phase shift film formed on a substrate which is transparent to exposure light. The phase shift film consists of a plurality of layers containing a metal and silicon with different composition ratios of the metal to silicon from one another. The layers are deposited from the substrate side to the surface layer side of the phase shift film in such a manner that a layer having a faster etching rate is in the substrate side and a layer having a slow etching rate is in the surface layer side. The phase shift mask blank shows good perpendicularity of the cross-sectional form in an etched part when the mask pattern is formed by etching, in particular, by reactive ion etching (RIE). Thereby, more accurate patterning can be done, which sufficiently meets the demand for further microfabrication and high integration of a semiconductor integrated circuit. COPYRIGHT: (C)2005,JPO&NCIPI

Patent
26 Jun 2003
TL;DR: In this paper, a convergence/divergence element is disposed on a light path between the illumination optical system and phase shift mask to convert the light beam supplied from the illumination system into a light beam having an upward concave intensity distribution.
Abstract: A crystallization apparatus includes an illumination optical system to illuminate a phase shift mask and which irradiates an amorphous semiconductor film with a light beam having an intensity distribution of an inverse peak type having a smallest light intensity in a point corresponding to a phase shift portion of the phase shift mask to generate a crystallized semiconductor film. A convergence/divergence element is disposed on a light path between the illumination optical system and phase shift mask. The convergence/divergence element converts the light beam supplied from the illumination optical system into a light beam having an upward concave intensity distribution in which the light intensity is lowest in the phase shift portion and in which the light intensity increases as distant from the phase shift portion to irradiate the phase shift mask.

Patent
17 Apr 2003
TL;DR: In this paper, a 4-mask process is used to construct amorphous silicon islands and contact holes in an IPS-LCD, and the contact holes are found using a phase-shaft mask.
Abstract: A method of manufacturing an IPS-LCD using a 4-mask process including forming amorphous silicon islands and contact holes using the same mask. Each amorphous silicon island is used to form the channel of one transistor inside the active area, and each contact hole is used to form a portion of an anti-ESD circuit around the active area. Amorphous silicon islands and the contact holes are also found using a phase-shaft mask. The phase shift mask at least includes a high transmittance area, a low transmittance area, and a transparent area.

Patent
14 Feb 2003
TL;DR: In this article, a phase shifting mask is used to create a shrunk fine line pattern on a photoresist layer coated on a semiconductor wafer, which is then exposed to light transmitted from clear areas of the first phase shift mask so as to form a vertical fine line image.
Abstract: A phase shifting lithographic process capable of creating a shrunk fine line pattern on a photoresist layer coated on a semiconductor wafer is disclosed. A first phase shift mask is prepared, which comprises thereon a first phase shift clear area, a second phase shift clear area situated adjacent to the first phase shift clear area, a vertical control chrome line section disposed at a boundary between the first phase shift clear area and the second phase shift clear area, and a horizontal opaque area connected to the vertical control chrome line section in an orthogonal manner. A first exposure process is implemented to expose the photoresist layer to light transmitted from clear areas of the first phase shift mask so as to form a vertical fine line image corresponding to the vertical control chrome line section disposed at a boundary between the first phase shift clear area and the second phase shift clear area, a horizontal unexposed area connected to the vertical fine line image in an orthogonal manner, and a peripheral unexposed line pattern. A second phase shift mask is prepared, which comprises thereon a third phase shift clear area, a fourth phase shift clear area situated adjacent to the third phase shift clear area, a horizontal control chrome line section disposed at a boundary between the third phase shift clear area and the fourth phase shift clear area, and a vertical opaque area connected to the horizontal control chrome line section in an orthogonal manner for shielding the vertical fine line image on the photoresist layer. A second exposure process is implemented to expose the photoresist layer to light transmitted from clear areas of the second phase shift mask so as to form a horizontal fine line image corresponding to the horizontal control chrome line section disposed at a boundary between the third phase shift clear area and the fourth phase shift clear area.

Proceedings ArticleDOI
28 Aug 2003
TL;DR: This paper compared both hidden phase edge as well as exposed phase edge altPSM in terms of scalability, image correction effectiveness, and manufacturability for 90-nm, 65-nm technology nodes and beyond.
Abstract: Alternating phase shift mask (altPSM) as a strong resolution enhancement technique is increasingly required to meet the tighter lithographic requirements on gate critical dimension (CD) control, depth of focus and low k1 applications in full chip patterning of logic and memory devices. While the frequency doubling mechanism of altPSM benefits the quality of imaging, the inherent intensity asymmetry between phase shifters, or image imbalance, causes line shift. The effect of mask topography on electromagnetic wave propagation must be compensated in practice. Various designs of mask structure for correcting the intrinsic imaging asymmetry have been extensively studied. In this paper, we discuss several image imbalance correction methods for hidden phase edge altPSM architectures, including chrome undercut, shifter width sizing, sidewall chrome alternating aperture mask. We compared both hidden phase edge as well as exposed phase edge altPSM in terms of scalability, image correction effectiveness, and manufacturability for 90-nm, 65-nm technology nodes and beyond. Specifically, we define the altPSM architecture scalability in terms of three key components: 1. Mask manufacturability, design layout complexity, and effectiveness of image balance correction, 2. Mask patterning resolution, pattern fidelity, image placement, CD & overlay control at both chrome and glass levels, 3. Tightening quartz etch process control for given phase error tolerance. Applications of altPSM technology to line/space, hole, and phase shifted assisted features patterning with various altPSM architectures are also addressed.

Journal ArticleDOI
TL;DR: In this paper, an attenuated phase-shift mask (att-PSM) with annular illumination has been used to print 18nm-long line patterns in aerial images.

Patent
25 Dec 2003
TL;DR: In this paper, a white defect in a halftone phase shift mask or a recessed phase defect in Levenson type phase shift masks is corrected to match the phase by depositing an electron beam CVD film 6 having high transmittance and a high phase effect such as tetramethoxysilane or the like.
Abstract: PROBLEM TO BE SOLVED: To correct a white defect having a phase effect in a halftone phase shift mask or to correct a recessed phase defect in a Levenson type phase shift mask SOLUTION: A white defect in a halftone phase shift mask or a recessed phase defect in a Levenson type phase shift mask is corrected to match the phase by depositing an electron beam CVD film 6 having high transmittance and a high phase effect such as tetramethoxysilane or the like When too high transmittance is obtained in the correction of a white defect by depositing an electron beam CVD film 6 of tetramethoxysilane in a halftone phase shift mask, a focused ion beam CVD film or an electron beam CVD film using a carbon-based source gas having low transmittance is further deposited to control the transmittance COPYRIGHT: (C)2005,JPO&NCIPI

Patent
25 Apr 2003
TL;DR: In this article, a method of inspecting a phase shift mask is disclosed, which includes receiving a mask having an alternating phase shift pattern and forming the alternating phase-shift pattern on a wafer.
Abstract: A method of inspecting a phase shift mask is disclosed. The method includes receiving a mask having an alternating phase shift pattern. The method also includes forming the alternating phase shift pattern on a wafer (102). The method further includes analyzing the alternating phase shift pattern on the wafer (104) to determine the phase difference of the alternating phase shift pattern (106).

Patent
31 Mar 2003
TL;DR: The phase shift mask blank has a phase shift film containing metals and silicon and is deposited by discharging both of a silicon target and a metal silicide target or the like at a time by sputtering to deposit a film as mentioned in this paper.
Abstract: PROBLEM TO BE SOLVED: To provide a method for manufacturing a phase shift mask blank easily realizing desired transmittance and having favorable chemical resistance. SOLUTION: The method for manufacturing a phase shift mask blank is carried out by discharging both of a silicon target and a metal silicide target or the like at a time by sputtering to deposit a film. The phase shift mask blank has a phase shift film deposited on a substrate, the phase shift film containing metals and silicon and deposited by discharging both of a silicon target and a metal silicide target or the like at a time by sputtering. COPYRIGHT: (C)2005,JPO&NCIPI

Patent
01 Aug 2003
TL;DR: In this paper, a half-tone phase shift mask blank is used for the production of a phase-shift mask, consisting of a light transmittable portion for transmitting an exposure light, a phase shift portion for shifting the phase of transmitted light to a given extent when a part of the exposure light transmits, and an etching stop film formed between the above film and the transparent substrate.
Abstract: The present invention relates to a half-tone type phase shift mask blank useful in the production of a half-tone type phase shift mask, comprising on a transparent substrate, a light transmittable portion for transmitting an exposure light, a phase shift portion for shifting the phase of transmitted light to a given extent when a part of the exposure light transmits, and a phase shift film for forming the phase shift portion. It has good optical property that in the vicinity of the margin of the light transmittable portion and phase shift portion, the transmitted lights deny each other, and the contrast of the margin portion of the exposure pattern transferred on the surface of exposed body maintained at good condition. The phase shift film uses silicon, oxygen and nitrogen as the main components and consists of an etching stop film formed between the above film and the transparent substrate.