scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2007"


Proceedings ArticleDOI
Tomohiko Yamamoto1, Teruyoshi Yao1, Hiroki Futatsuya1, Tatsuo Chijimatsu1, Satoru Asai1 
TL;DR: The double exposure technique using alternating phase shift mask (alt-PSM) has been proposed and it is well-used for the gate layer of the high performance logic devices as strong resolution enhancement technology (RET).
Abstract: The double exposure technique using alternating phase shift mask (alt-PSM) has been proposed and it is well used for the gate layer of the high performance logic devices as strong resolution enhancement technology (RET). This technique has advantage that the fine resist profile is obtained on wafer with extensive process margin. However, this double exposure technique is very expensive because of the alt-PSM cost. This time, the new double exposure technique without alt-PSM is developed for gate layer of 45 nm node logic devices. In this new double exposure method, attenuated phase shift mask (att-PSM) or binary mask (BIM) is used with dipole illumination. It is thought that this new double exposure method is effective for random logic devices which have various pattern pitches by the optimization of dipole illumination condition and pattern placement. Firstly, the optical contrast and depth of focus (DOF) is calculated. From these results, dipole illumination condition is optimized. It is found that DOF of new double exposure method is wider than that of conventional method. In addition, mask pattern is optimized to obtain wide process margin. For dense pattern, mask biasing is effective and optimization of shifter width is effective for isolated pattern. Furthermore, it is found that assist pattern is very effective for isolated pattern. From experimental results, it is proved that new double exposure method have wider process margin than that of conventional one. The strong design for manufacturing (DFM) rule that required the severe line width control is placed at single direction is proposed to realize the new double exposure method. Finally, it is found that the lithographic performance of new double exposure method has same level as conventional method with alt-PSM for gate layer of 45 nm logic devices.

150 citations


Patent
Hai Sun1, Winnie Yu1, Hongping Yuan1, Yizhong Wang1, Xianzhong Zeng1 
02 Nov 2007
TL;DR: In this article, a method and system for providing a microelectric device, such as a magnetoresistive read sensor, with a mask layer on the microelectric devices is described.
Abstract: A method and system for providing a microelectric device, such as a magnetoresistive read sensor are described. The method and system include providing a mask layer on the microelectric device. The method and system further include exposing the mask layer to provide a mask. A portion of the mask covers a portion of the microelectric device. The step of exposing the mask layer further includes utilizing a chromeless alt-phase shift mask for providing the portion of the mask.

130 citations


Patent
16 Apr 2007
TL;DR: In this paper, a mask pattern was generated using a Levenson phase shift mask in a light exposure process for exposing a photoresist film formed on a fabricated film to light when the conductive layer is patterned by photolithography, including a gate electrode formed in an active region extending in a first direction in a wafer in such a manner as to extend in a second direction orthogonal to the first direction.
Abstract: Disclosed herein is a mask pattern generating method for generating a mask pattern to be formed in a Levenson phase shift mask used in a light exposure process for exposing a photoresist film formed on a fabricated film to be patterned into a conductive layer to light when the conductive layer is patterned by photolithography, the conductive layer including a gate electrode formed in an active region extending in a first direction in a wafer in such a manner as to extend in a second direction orthogonal to the first direction, the mask pattern generating method including: a phase shifter arranging step; a shifter pattern image obtaining step; a trim pattern image obtaining step; and a phase shifter elongating step.

44 citations


Proceedings ArticleDOI
16 Nov 2007
TL;DR: In this paper, the effects of oblique incidence and corner rounding of mask features on resist contours of 2D structures (i.e. line-ends and corners) are studied.
Abstract: The perpetual shrinking in critical dimensions in semiconductor devices is driving the need for increased resolution in optical lithography. Increasing NA to gain resolution also increases Optical Proximity Correction (OPC) model complexity. Some optical effects which have been completely neglected in OPC modeling become important. Over the past few years, off-axis illumination has been widely used to improve the imaging process. OPC models which utilize such illumination still use the thin film mask approximation (Kirchhoff approach), during optical model generation, which utilizes a normal incidence. However, simulating a three dimensional mask near-field using an off-axis illumination requires OPC models to introduce oblique incidence. In addition, the use of higher NA systems introduces high obliquity field components that can no longer be assimilated as normal incident waves. The introduction of oblique incidence requires other effects, such as corner rounding of mask features, to be considered, that are seldom taken into account in OPC modeling. In this paper, the effects of oblique incidence and corner rounding of mask features on resist contours of 2D structures (i.e. line-ends and corners) are studied. Rigorous electromagnetic simulations are performed to investigate the scattering properties of various lithographic 32nm node mask structures. Simulations are conducted using a three dimensional phase shift mask topology and an off-axis illumination at high NA. Aerial images are calculated and compared with those obtained from a classical normal incidence illumination. The benefits of using an oblique incidence to improve hot-spot prediction will be discussed.

13 citations


Proceedings ArticleDOI
05 Oct 2007
TL;DR: In this article, the impact of the mask material on the performance of the 45nm half-pitch (HP) node at NA 1.2 and 1.35 was studied.
Abstract: The lithographic performance of current state-of-the-art resolution enhancement techniques (RET) will become critical at hyper numerical aperture (NA>1) due to mask 3D effects. We have studied the impact of the mask material on the lithographic performance at NA 1.2 and above. The assessment, both by rigorous simulations and experiments, involves the standard mask stacks, Cr binary mask (BIM) and MoSi 6% attenuated phase shift mask (attPSM), as well as alternatives such as thick Cr BIM, Ta/SiO 2 1% and 6% attenuated PSM, and Ta/SiON 1% attenuated PSM. Using the rigorous electro-magnetic field (EMF) and lithographic process simulations (IISB DrLiTHO) the mask structure is optimized taking into account the trade_off with mask error enhancement factor (MEEF). Next, a throughpitch evaluation of the 45nm half-pitch (HP) node at NA1.2-1.35 is carried out examining maximum exposure latitude (EL), depth-of-focus (DOF), best focus shifts, and MEEF behavior for the various mask stacks. For the validation of the simulation methodology a correlation is made between scanner (ASML XT:1700Fi), AIMS (Zeiss AIMS TM 45-193i), and simulation results indicating the importance of the mask quality and mask properties. Based on the lithographic performance and the mask manufacturability we put together a ranking of the commercially available mask stacks for the 45nm HP node at NA 1.2 and 1.35.

11 citations


Patent
25 Sep 2007
TL;DR: The phase shift mask as discussed by the authors is a phase-shift mask for manufacturing a semiconductor device, which includes a light-blocking portion, a lighttransmitting portion, an auxiliary pattern portion, and a phase shift portion.
Abstract: The phase shift mask according to the present invention is a phase shift mask for manufacturing a semiconductor device. The phase shift mask includes a light-blocking portion, a light-transmitting portion, a phase shift portion, and an auxiliary pattern portion, the light-blocking portion, the light-transmitting portion, the phase shift portion, and the auxiliary pattern portion being concentrically arranged, wherein a width of the auxiliary pattern portion in a radius direction is less than a width of the light-transmitting portion and a width of the phase shift portion in a radius direction. Furthermore, it is possible that a phase of exposure light which passes through an auxiliary pattern portion is opposite to a phase of exposure light which passes through a light-transmitting portion or a phase shift portion, the light-transmitting portion or the phase shift portion being the closest to the auxiliary pattern portion.

9 citations


Proceedings ArticleDOI
03 May 2007
TL;DR: In this article, a new blank for the alternating phase shift mask (alt. PSM) and binary mask (BIM) is developed, and the top film of new blank is thin Cr and the antireflection film and shielding film composed of MoSi are deposited under the Cr film.
Abstract: For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.

8 citations


Proceedings ArticleDOI
08 Feb 2007
TL;DR: In this paper, the authors discuss the initial haze issues seen in a 300mm wafer fab and actions put in place to address the problem and an explanation of results gained from haze reduction actions implemented in a wafer Fab will be given.
Abstract: Crystal growth on advanced reticles is currently a world wide industrial problem in high end semiconductor production environment, crystals are mainly found on reticles that use high energy photons at 193nm wavelength. The most common crystals to be found on masks are ammonium sulphate, a combination of sulphate, from maskshop residues after clean, pellicle materials and storage conditions and amines from clean room, tool and storage environments. High energy photons act as a catalyst to form crystals on both the pattern side as well as the backglass surface. After a number of exposures crystals can grow in size and eventually become printable. In order to detect HAZE before critical dimensions have been reached suitable detection methods need to be implemented to ensure image integrity. These detection methods are different and complementary depending on the surface to be inspected. Once crystals have started growing, the only method to regain mask quality is to clean the mask at the manufacturers site. This brings with it several undesirable situations, not only is the mask unavailable for production but the cleaning of a mask leads to a potential risk of damaging the mask especially for sub resolution patterns such as scatter bars and phase and transmission changes for eaPSM (Embedded Attenuated Phase Shift Mask) masks. This paper will discuss the initial haze issues seen in a 300mm wafer fab and actions put in place to address the problem. An explanation of results gained from haze reduction actions implemented in a wafer fab will be given. Haze seen by reticle inspection and surface analysis tools can be characterised by typical contamination patterns. These signatures appear after a certain number of wafers exposed depending on several reticle variables such as transmission, Binary, eaPSM, Pellicle. Details will be given of how reticles are managed to ensure minimum impact to a production environment with an appropriate reticle control plan. AMC (Airborne Molecular Contamination) in wafer fab and equipment environment is a key factor for crystal growth. The type of filtration installed to reduce AMC and method of atmospheric monitoring for critical areas will be explained. Choice of reticle storage conditions and materials used for transport during the life of the reticle will be included. Improvements in maskshop cleaning processes, reticle materials and environmental control have lead to extended mask lifetime in the wafer fab of more than 20 times. The fundamental differences and relative monitoring will be described and gain from implemented actions will be presented Once crystals have started growing, the only method to regain mask quality is to clean the mask at the manufacturers site. This brings with it several undesirable situations, not only is the mask unavailable for production but the cleaning of a mask leads to a potential risk of damaging the mask especially for sub resolution patterns such as scatter bars and phase and transmission changes for eaPSM (Embedded Attenuated Phase Shift Mask) masks. This paper will discuss the initial haze issues seen in a 300mm wafer fab and actions put in place to address the problem. An explanation of results gained from haze reduction actions implemented in a wafer fab will be given. Haze seen by reticle inspection and surface analysis tools can be characterised by typical contamination patterns. These signatures appear after a certain number of wafers exposed depending on several reticle variables such as transmission, Binary, eaPSM, Pellicle. Details will be given of how reticles are managed to ensure minimum impact to a production environment with an appropriate reticle control plan. AMC (Airborne Molecular Contamination) in wafer fab and equipment environment is a key factor for crystal growth. The type of filtration installed to reduce AMC and method of atmospheric monitoring for critical areas will be explained. Choice of reticle storage conditions and materials used for transport during the life of the reticle will be included. Improvements in maskshop cleaning processes, reticle materials and environmental control have lead to extended mask lifetime in the wafer fab of more than 20 times. The fundamental differences and relative monitoring will be described and gain from implemented actions will be presented

8 citations


Patent
28 Dec 2007
TL;DR: In this article, the phase shift amount of any light-receiving element outputting a specific phase amount due to the incidence of diffracted light or multiply-reflected light is excluded.
Abstract: PROBLEM TO BE SOLVED: To achieve a phase shift amount measuring apparatus capable of further correctly measuring the phase shift amount of a phase shifter. SOLUTION: A laterally offset interference image of a phase shift mask (3) is formed by a shearing interferometer (6, 44), and the interference image is captured by a two-dimensional imaging device (17, 48). The output signal outputted from each light-receiving element of the two-dimensional imaging device is supplied to a signal processing device (19), and the phase shift amount is calculated for each light-receiving element. Since the light-receiving area of the light-receiving element is very small, the phase shift amount of any light-receiving element outputting a specific phase amount due to the incidence of diffracted light or multiply-reflected light is excluded; and the phase shift amount is determined, based on the phase shift amount found from output signals of the remaining light-receiving elements. COPYRIGHT: (C)2008,JPO&INPIT

7 citations


Patent
20 Jul 2007
TL;DR: In this article, the phase shift mask for forming the microlens in the CMOS image sensor is formed by stacking at least two phase shifting layers having different transmissivity from each other.
Abstract: The present invention relates to a mask and a method of manufacturing a microlens using the mask, which condenses external light in a CMOS image sensor so that the microlens irradiated by means of a photodiode can have an excellent radius of curvature. With the present invention, the phase shift mask for forming the microlens in the CMOS image sensor is formed by stacking at least two phase shifting layers having different transmissivity from each other so that the microlens can have even size when forming the microlens using the phase shift mask and the microlens can have even curvature regardless of the location of the mask pattern array.

7 citations


Patent
28 Dec 2007
TL;DR: In this article, the phase shift amount of a phase shifter mask was measured using a two-dimensional imaging device and a signal processing device, where the phase-shift amount of each light receiving element was determined based on the output signals of the remaining light receiving elements.
Abstract: A phase shift amount measurement apparatus able to further correctly measure a phase shift amount of a phase shifter, wherein a laterally offset interference image of a phase shift mask is formed by a shearing interferometer, the interference image is captured by a two-dimensional imaging device, an output signal output from each light receiving element of the two-dimensional imaging device is supplied to a signal processing device, the phase shift amount is calculated for each light receiving element, the light receiving area of the light receiving element is very small, therefore the phase shift amount of any light receiving element outputting a peculiar phase amount due to incidence of diffraction light or multi-reflection light is excluded and the phase shift amount is determined based on the phase shift amount found from output signals of the remaining light receiving elements.

Patent
Tomohiko Yamamoto1, Satoru Asai1
31 Aug 2007
TL;DR: In this paper, double exposure is performed by using a pair of photomasks, an attenuated phase shift mask or the like which is not an alternating phase shift masks, and a pattern is transferred onto a photoresist.
Abstract: Double exposure is performed by using a pair of photomasks, an attenuated phase shift mask or the like which is not an alternating phase shift mask, and a pattern is transferred onto a photoresist Here, on the occasion of performing exposure with the photomask for forming a finer pattern, double pole illumination is used as an illumination system

Patent
02 Jul 2007
TL;DR: In this article, a method for correcting a critical dimension of a phase shift mask is provided to enhance the uniformity of CD of the mask by correcting the CD of a light shielding pattern.
Abstract: A method for correcting a CD(Critical Dimension) of a phase shift mask is provided to enhance the uniformity of CD of the phase shift mask by correcting the CD of a light shielding pattern for defining a phase shift pattern before patterning a phase shift layer. A phase shift layer(110), a light shielding layer(120) and a resist pattern are sequentially formed on a transparent substrate(100). A light shielding pattern is formed on the resultant structure by etching selectively the light shielding layer using the resist pattern as an etch mask. The resist pattern is then removed therefrom. The CD of the light shielding pattern is measured. The CD of the light shielding pattern is selectively corrected. A phase shift pattern is formed on the resultant structure by patterning selectively the phase shift layer using the corrected phase shift pattern as an etch mask. Then, the light shielding pattern is removed therefrom.

Patent
Fei Wang1
02 Feb 2007
TL;DR: In this article, a reticle is provided that comprises a phase shift and transmission control layer, wherein a gap in the phase shifts and transmission controls defines a line, and an optically transparent material, comprising a groove located within the line, is used to shift the phase of light passing through the groove.
Abstract: Systems and methods are provided for use in photolithography. In one embodiment, a reticle is provided that comprises a phase shift and transmission control layer, wherein a gap in the phase shift and transmission control layer defines a line. Adjacent to the phase shift and transmission control layer is an optically transparent material, comprising a groove located within the line, wherein the groove comprises a region of reduced thickness in the optically transparent material. The region of reduced thickness serves to shift the phase of light passing through the groove, as compared to light passing through other regions of the optically transparent material. Thus, the reticle has a clear feature comprising light of two different phases.

Journal ArticleDOI
TL;DR: In this paper, thermal treatment was used to remove chemical residue on the surface of a mask, and the residual sulfate ions on the mask surface were reduced to < 0.18 ng/cm2 using thermal treatment.
Abstract: We choose thermal treatment as part of a methodology to remove chemical residue on the surface of a mask. This new step of thermal treatment is inserted into our standard cleaning process for embedded attenuate phase shift masks (EAPSMs). The treatment is carried out in a modified hot plate system at various temperatures and times. After thermal treatment, ion chromatography measures the residual ions on a given surface. The thermal treatment is found to considerably reduce residual sulfate ions on the mask surface. The remaining sulfate ions on the mask are <0.18 ng/cm2 using thermal treatment.

Patent
20 Sep 2007
TL;DR: The Levenson type phase shift mask has an engraved portion in a substrate transparent to exposure light, and is characterized in that a light shielding film provided in a portion adjacent to the engraved portion or in a peripheral portion of the substrate includes a film made of a material which can be etched in an etching process using an etch gas essentially comprising a fluorine-based gas as discussed by the authors.
Abstract: PROBLEM TO BE SOLVED: To provide a Levenson type phase shift mask that can significantly improve CD (Critical Dimension) performance, and to provide a method for manufacturing the mask. SOLUTION: The Levenson type phase shift mask has an engraved portion in a substrate transparent to exposure light so as to control phases of transmitted light, and is characterized in that a light shielding film provided in a portion adjacent to the engraved portion of the substrate or in a peripheral portion of the substrate includes a film (A) made of a material which can be etched in an etching process using an etching gas essentially comprising a fluorine-based gas. COPYRIGHT: (C)2007,JPO&INPIT

Proceedings ArticleDOI
TL;DR: In this paper, the phase in the image plane of a microlithography exposure tool was measured on various target sizes and simulations were performed to further demonstrate the capability and implication of this new method to measure the relevant phase in-die.
Abstract: As lithography mask process moves toward 45nm and 32nm node, phase control is becoming more important than ever. Both attenuated and alternating PSMs (Phase Shift Masks) need precise control of phase as a function of both pitch and target sizes. However conventional interferometer-based phase shift measurements are limited to large CD targets and requires custom designed target in order to function properly, which limits phase measurement. Imaging simulations, both, in a rigorous and a Kirchhoff regime, show the dependency of the phase in the image plane of a microlithography exposure tool on numerical aperture, polarization, and on the so-called balancing of the mask for features close to the size of the used wavelength. For these feature sizes, the image phase does not coincide with the etch depth equivalent phase calculated from the nominal depth and optical constants of the shifter material. Additionally, for PSMs generating phase jumps deviating from 180°, the resulting phase in the image plane of a microlithography exposure tool depends on the transmitted diffraction orders through the aperture of the imaging system. Consequently Zeiss, in collaboration with Intel, has started the development of a laterally resolving Phase Metrology Tool (Phame) for in-die phase measurements. In this paper we present this optical metrology tool capable of phase measurement on individual line/spaces down to 120nm half pitch. Alternating PSM, Attenuated PSM, Cr-less masks were measured on various target sizes and simulations were performed to further demonstrate the capability and implication of this new method to measure the scanner relevant phase in-die, taking into account NA, polarization, and rigorous effects.

Proceedings ArticleDOI
19 Nov 2007
TL;DR: In this article, multi options of resolution enhancement technology are obtained to maintain a small CD, goodCD uniformity (CDU), reasonable process window (PW) and fidelity of resist profile.
Abstract: Hyper-numerical aperture ArF scanner has being designed to meet the needs of 45nm node. Resolution enhancement technology, such as phase shift mask, off-axis illumination, and innovation processing technology must be employed in hyper-numerical aperture ArF lithography. However the cross talk of phase shift mask, off axis illumination, polarization effect, and resist stack impacts lithography performance significantly. Option of resolution enhancement technology is presented in conjunction with optimal dual-layers bottom anti-refactive coating and polarized illumination by our program and Prolith 9.0. Multi options of resolution enhancement technology are obtained to maintain a small CD, good CD uniformity (CDU), reasonable process window (PW) and fidelity of resist profile.

Proceedings ArticleDOI
TL;DR: In this paper, immersion lithography was applied to 45nm node logic and 0.25um 2 ultra-high density SRAM and a predictable enhancement of focus margin and resolution were obtained for all levels which were exposed by immersion tool.
Abstract: Immersion lithography was applied to 45nm node logic and 0.25um 2 ultra-high density SRAM. The predictable enhancement of focus margin and resolution were obtained for all levels which were exposed by immersion tool. In particular, the immersion lithography enabled to apply the attenuating phase shift mask to the gate level. The enough lithography margin for the alternating phase shift mask was also obtained by using not only immersion tool but also dry tool for gate level. The immersion lithography shrunk the minimum hole pitch from 160nm to 140nm. Thus, the design rule for 45nm node became available by using immersion lithography.

Patent
Jae Cheon Shin1
21 Dec 2007
TL;DR: In this article, a method of forming a photomask of a semiconductor device includes depositing a first phase shift layer, a light blocking layer, and a second phase-shift layer on a transparent substrate, and then a first photoresist pattern is formed to expose a region on an upper surface of the second phaseshift layer.
Abstract: A method of forming a photomask of a semiconductor device includes depositing a first phase shift layer, a light blocking layer, and a second phase shift layer on a transparent substrate, and then a first photoresist pattern is formed to expose a region on an upper surface of the second phase shift layer. Then, the exposed region is etched by using the first photoresist pattern as a mask to form a second phase shift pattern, and the light blocking layer is etched by using the second phase shift pattern as a mask to form a light blocking pattern. Thereafter, a second photoresist pattern is formed on the transparent substrate to define a phase shift region and a light transmitting region. The first phase shift layer is etched by using the second photoresist pattern as a mask to form a first phase shift pattern. Then, the light blocking pattern of the phase shift region is etched to form a phase shift mask pattern.

Patent
19 Jul 2007
TL;DR: In this paper, the phase shifter film 5 has a phase shift quantity adjusting layer 4 for mainly adjusting the phase shift quantities with respect to exposure light and a transmittance adjusting layer 3 for mostly adjusting the transmittances.
Abstract: PROBLEM TO BE SOLVED: To obtain a halftone type phase shift mask blank having a multilayer type (two layer type) halftone phase shifter film, which exhibits sufficient surface reflectance to light beams used for various inspections and has satisfactory measurement sensitivity and measurement reproducibility. SOLUTION: The phase shifter film 5 has a phase shift quantity adjusting layer 4 for mainly adjusting the phase shift quantity with respect to exposure light and a transmittance adjusting layer 3 for mainly adjusting the transmittance. The phase shift quantity adjusting layer 4 is made of a material, containing silicon, oxygen and nitrogen, and the transmittance adjusting layer 3 is made of a material containing chromium. The composition of the transmittance adjusting layer 3 is adjusted so that the surface reflectance of the layer with respect to light, having a wavelength range of 240-700 nm, used for the inspection of masks and with respect to light having a wavelength used for positioning each is set to 3% or higher. COPYRIGHT: (C)2007,JPO&INPIT

Patent
12 Jul 2007
TL;DR: In this paper, the phase shift mask of a semiconductor device is provided with: a substrate; multilayered thin films formed by having a trench with a predetermined depth on the substrate; and an absorber which embeds the trench in a specified depth.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask of a semiconductor device suitable for raising a resolution by correcting the phase of a reflected light by a mask and for embodying micropatterns in a lithography using an EUV light, and a method of manufacturing the phase shift mask. SOLUTION: The phase shift mask of the semiconductor device is provided with: a substrate; multilayered thin films formed by having a trench with a predetermined depth on the substrate; and an absorber which embeds the trench in a predetermined depth. Thereby, according to this invention, since conventional substrates, multilayered thin films and absorbers can be used as they are, there are such effects that any expensive EUV exposure device is not changed, the resolution is raised by only a mask correction, and the micropatterns can be formed by raising a process margin. COPYRIGHT: (C)2007,JPO&INPIT

Patent
25 Jan 2007
TL;DR: In this paper, a method and an apparatus for ashing a photo-mask are provided to accurately perform an ashing process on a desired surface by generating an alarm sound, when an erroneous surface is detected.
Abstract: A method and an apparatus for ashing a photo-mask are provided to accurately perform an ashing process on a desired surface by generating an alarm sound, when an erroneous surface is detected. An apparatus for ashing a photo-mask includes a photomask cassette stage(10), a process chamber(30), photomask surface detector(50), a cooling unit(40), and a robot(20). A photomask cassette, on which at least one phase shift mask photomask is arranged, is loaded on the photomask cassette stage. The process chamber performs an ashing process on the photomask. The photomask surface detector determines whether a surface to be ashing is a correct surface or not. The cooling unit cools down the photomask, on which the ashing process is completed in the reaction chamber. The robot includes a robot arm, which delivers the photomask among the photomask cassette stage, the process chamber, the surface detector, and the cooling unit.

Patent
23 Aug 2007
TL;DR: In this paper, the authors proposed a method of manufacturing a halftone phase shift mask blank with a minimum line width of ≤ 100 nm using an exposure light wavelength of 193 nm or 157 nm.
Abstract: PROBLEM TO BE SOLVED: To provide a binary chromium mask blank and a halftone phase shift mask blank each having a minimum line width of ≤100 nm, and to provide a method of manufacturing the mask blank. SOLUTION: The chromium mask blank that can be used for lithographic processes of a semiconductor integrated circuit requiring uniformity with high accuracy of a minimum line width of ≤100 nm using an exposure light wavelength of 193 nm or 157 nm includes a transparent substrate and a single layer film of an oxide film, a nitride film, a hydrogenated film, a carbide film or a combination of these films, formed into a film thickness giving an optical density of 1.8 to 3.0 at the exposure wavelength. COPYRIGHT: (C)2007,JPO&INPIT

Patent
Hung-Ting Pan1, Ken Wu1, Luke Hsu1, Yao-Ching Ku1
21 Mar 2007
TL;DR: In this article, a passivation layer for a phase shift mask (PSM) is presented. But the passivation is not applied to cover the exposed surfaces of at least a portion of the phase shift pattern.
Abstract: System and method for providing a passivation layer for a phase shift mask (“PSM”) are described. In one embodiment, a PSM comprises a transparent substrate; a phase shift pattern disposed on the transparent substrate; and a passivation layer disposed to substantially cover exposed surfaces of at least a portion of the phase shift pattern.

Patent
03 Aug 2007
TL;DR: In this paper, a method for designing alternating phase shift masks is provided, in which narrow phase shapes located between densely spaced design shapes are colored to allow a maximum amount of light transmission, which helps avoid printing errors such as resist scumming between closely spaced shapes, and maximizes the lithographic process window.
Abstract: A method for designing alternating phase shift masks is provided, in which narrow phase shapes located between densely spaced design shapes are colored to allow a maximum amount of light transmission. After assigning and ensuring binary legalization of the phase shapes, the narrow phase shapes are assigned a color, such as 0° phase shift, that allows the more light transmission than the alternate or opposite color (e.g. 180° phase shift), which helps avoid printing errors such as resist scumming between closely spaced shapes, and maximizes the lithographic process window.

Patent
14 Jun 2007
TL;DR: In this paper, a phase shift mask including a transparent substrate, and a light-shielding film formed on the transparent substrate and provided with first and second apertures which are alternately arranged.
Abstract: A phase-shift mask including a transparent substrate, and a light-shielding film formed on the transparent substrate and provided with first apertures and second apertures which are alternately arranged. The transparent substrate is partially removed through the second apertures to form a recessed portion having a predetermined depth. Light transmitted through the first apertures and light transmitted through the second apertures are enabled to alternately invert in phase thereof. This phase-shift mask is characterized in that a phase shift of transmitted light is set in conformity with a pitch between an edge of the first aperture and an edge of the second aperture of the light-shielding film.

Patent
26 Jul 2007
TL;DR: In this article, a multi-layer alternating phase shift mask and associated techniques are described, which includes a glass substrate, a compensating layer of material coupled with the substrate, the material having optical properties to compensate for thick mask effects, the absorber layer having a first opening patterned therein, and the absorbing and compensating layers having a second opening patterning therein.
Abstract: A multi-layer alternating phase shift mask and associated techniques are generally described. In one example, a photomask includes a glass substrate, a compensating layer of material coupled with the glass substrate, the material having optical properties to compensate for thick mask effects, an absorber layer coupled with the compensating layer, the absorber layer having a first opening patterned therein, and the absorber layer and the compensating layer having a second opening patterned therein, the second opening having a depth selected to provide a desired phase shift, the compensating material having an index of refraction that is greater than the index of refraction of the glass substrate to reduce the depth of the second opening to provide a desired phase shift.

Patent
03 Jan 2007
TL;DR: In this article, a method of manufacturing a phase shift mask by modifying opaque defects of the mask without the damage of other portions using a light shielding pattern is provided, where a predetermined phase shift pattern is formed on a mask substrate and the existence of opaque defects is checked on the predetermined mask pattern.
Abstract: A method of manufacturing a phase shift mask is provided to improve reliability of the phase shift mask by modifying opaque defects of the phase shift mask without the damage of other portions using a light shielding pattern. A predetermined phase shift pattern(110) is formed on a mask substrate(100). The existence of opaque defects is checked on the predetermined phase shift pattern. When the opaque defects exist on the predetermined phase shift mask, a light shielding pattern is formed on the predetermined phase shift pattern. The predetermined phase shift pattern is selectively removed from the opaque defect generating region of the mask substrate by using the light shielding pattern as an etch mask.

Patent
29 Nov 2007
TL;DR: In this article, a half-tone phase shift mask structure part is formed by an opening portion 3c in a halftone film 2 on a first main surface of a mask substrate.
Abstract: PROBLEM TO BE SOLVED: To excellently form a hole pattern on a wafer SOLUTION: In a process of transferring both a plurality of hole patterns disposed in a high-density state and a hole pattern disposed in an isolated state to a resist film deposited on a main surface of the wafer through exposure processing, the plurality of hole patterns in the high-density state are transferred by a half-tone phase shift mask structure part on a mask MK1 and the hole pattern in the isolated state is transferred by an in-phase half-tone edge emphasizing phase shift mask structure part on the mask MK1 The half-tone phase shift mask structure part is formed by an opening portion 3c in a half-tone film 2 on a first main surface of a mask substrate 1 The in-phase half-tone edge emphasizing phase shift mask structure part is formed by arranging a main portion 4b in the center of the opening portion 3b bored in the half-tone film 2 on the first main surface of the mask substrate 1 and arranging a rim portion 5b at its periphery COPYRIGHT: (C)2008,JPO&INPIT