scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2010"


Journal Article
TL;DR: Naulleau et al. as mentioned in this paper proposed a new imageable hard mask which can achieve a resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.
Abstract: The SEMA TECH Berkeley MET pushing EUV development beyond 22-nm half pitch Patrick Naulleau,] Christopher N. Anderson,] Lorie-Mae Bac1ea-an,] David Chan, 3 Paul Denham,] Simi George,] Kenneth A. Goldberg,] Brian Hoef,! Gideon Jones,! Chaw on Koh,3 Bruno La Fontaine,4 Brittany McClinton,2 Ryan Miyakawa,2 Warren Montgomery,3 Seno Rekawa,] and Tom Wallow 4 ]Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 2University of California, Berkeley, CA 94720 3SEMATECH, Albany, NY 12203 4Global Foundries, Sunnyvale, CA 94088 ABSTRACT Microfield exposure tools (METs) playa crucial role in the development of extreme ultra\'iolet (EUV) resists and masks, One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET, Using conventional illumination this tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been used to push the patterning capabilities of this tool to half pitches of 18 nm and below, This resolution was achieved in a new imageable hard mask which also supports contact printing down to 22 nm with conventional illumination. Along with resolution, line-edge roughness is another crucial hurdle facing EUV resists, Much of the resist LER, however, can be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask causes correlated LER in photoresist to increase from 3.4 nm to 4,0 nm, We have also shown that new generation EUV masks (l00 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3x improvement over the correlated LER of older generation EUV masks (230 pm of substrate roughness), Finally, a 0.5-NA MET has been proposed that will address the needs of EUV development at the 16-nm node and beyond, The tool will support an ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch. Keywords: extreme ultraviolet. lithography, photoresist, mask roughness, mask cleaning, nanolithography 1. INTRODUCTiON Microfield exposure tools (METs) [1-3] have and continue to playa dominant role in the development of extreme ultraviolet (EUV) resists and masks. One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET [1], Using conventional illumination, this tool is limited to approximately 22-nm half pitch resolution, Here we describe and demonstrate resolution enhacement techniques capable of pushing the SEMATECH Berkeley MET (BMET) beyond this limit. We consider both a strictly illumination-based method as well as a system-based method which is functionally equivalent to using a chromeless phase shift mask yet requires only a simple binary amplitude mask. We use the methods described above to demonstrate patterning at the sub-22-nm level and summarize the latest resist performance results. including resolution, line edge roughness (LER), and sensitivity. Noting that the high coherence required to implement the resolution enhancement methods also introduces significant LER, we provide an update on mask contributions to LER exploring the effects of mask cleaning as well as the capabilities of the latest EUV masks. 2. BMET RESOLUTION ENHANCEMENT With EUV resists having reached resolution levels of 20 nm [4], the modified illumination capabilities [5] of the BMET are crucial to further progress. Figure 1 shows the computed aerial image contrast for the BMET using its conventional annular 0.35-0.55 illumination as well as dipole illumination optimized for 18-nm half pitch. With conventional annular illumination, the contrast roll-off occurs at approximately 25-nm half pitch. In the dipole case. excellent performance is achieved in the 16-22-nm range, however. the dipole case suffers from forbidden pitches in the 25-nm to 50-nm CD

26 citations


Proceedings ArticleDOI
TL;DR: In this paper, the authors proposed a mask inspection system using 199nm wavelength with simultaneous transmitted illumination and reflected illumination optics, and is an effectual candidate for hp 32nm node mask inspection.
Abstract: Lithography potential expands for 45nm node to 32nm device production by the development of immersion technology and the introduction of phase shift mask. We have already developed the mask inspection system using 199nm wavelength with simultaneous transmitted illumination and reflected illumination optics, and is an effectual candidate for hp 32nm node mask inspection. Also, it has high defect sensitivity because of its high optical resolution, so as to be utilized for leading edge mask to next generation lithography. EUV lithography with 13.5nm exposure wavelength is dominant candidate for the next generation lithography because of its excellent resolution for 2x half pitch (hp) node device. But, applying 199nm optics to complicated lithography exposure tool option for hp2x nm node and beyond, further development such as image contrast enhancement will be needed. EUVL-mask has different configuration from transmitted type optical-mask. It is utilized for reflected illumination type exposure tool. Its membrane structure has reverse contrast compared with optical-mask. This nature leads image profile difference from optical-mask. A feasibility study was conducted for EUV mask pattern defect inspection using DUV illumination optics with two TDI (Time Delay Integration) sensors. To optimize the inspection system configuration, newly developed Nonlinear Image Contrast Enhancement method (NICE) is presented. This function capability greatly enhances inspectability of EUVL mask.

14 citations


Patent
02 Apr 2010
TL;DR: In this paper, the phase shift section is an engraved section prepared by engraving the translucent substrate from the substrate surface to an engraved depth, which induces a predetermined phase difference in exposure light with respect to the exposure light to be transmitted by a section having no phase shift sections.
Abstract: PROBLEM TO BE SOLVED: To provide a photomask blank in which a fine phase shift section can be formed with high CD (Critical Dimension) accuracy on a substrate or on a phase shift film SOLUTION: The photomask blank for preparing a phase shift mask is provided with a phase shift section that induces a predetermined phase difference in exposure light to be transmitted by a translucent substrate 1 The phase shift section is an engraved section prepared by engraving the translucent substrate from the substrate surface to an engraved depth which induces a predetermined phase difference in exposure light with respect to the exposure light to be transmitted by a section having no phase shift section of the translucent substrate The phase shift section includes: a light-shielding section 13 that is formed in a peripheral region of a transfer pattern region on the surface of the translucent substrate and blocks exposure light; and an etching mask film 20a functioning as an etching mask when the engraved section is formed in the transfer pattern region on the surface of the translucent substrate, at least until the engraved section reaches the above described engraved depth COPYRIGHT: (C)2010,JPO&INPIT

12 citations


Proceedings ArticleDOI
24 Sep 2010
TL;DR: In this article, the attenuated phase shift mask (att.PSM) was used to solve the radiation damage from photomask making approach, and it was found that the root cause of radiation damage is oxidation of MoSi film.
Abstract: The exposure tools have been advanced for finer patterns and higher throughput. However, it causes the increase of accumulation of exposure dose on mask, which induces the mask CD growth. This issue has been reported as the radiation damage and brought the low yield of device chips [1, 2, 3]. As the solution, the radiation damage can be reduced by the ultra extreme dry air in exposure tool [4]. It is difficult to adopt dry air to all exposure tool due to cost. In this work, we tried to solve the radiation damage from photomask making approach. The attenuated phase-shift mask (att. PSM) was chosen for this evaluation because its damage is severest. The test plates of att. PSM were exposed by ArF laser, and the amount of CD degradation and the composition change in damage area were investigated. By the analyses of TEM and EDX, it was confirmed that the root cause of radiation damage is oxidation of MoSi film. Therefore, the approaches from mask process and material were tried to prevent MoSi film from oxidation. As a result, the approach from mask material, especially modification of MoSi film is effective. And the characteristics of new MoSi film, such as CD performances, cross section, and cleaning durability, were compared with conventional att. PSM. These results show the characteristics of two masks are equivalent. Att. PSM with new MoSi film is promising solution to improve radiation damage.

9 citations


01 Jan 2010
TL;DR: Gennari et al. as discussed by the authors presented a full framework for modeling transmission effects due to three-dimensional mask topography in optical lithography from solving Maxwell's equations using rigorous simulation through fast-CAD for full chip level aerial image quality characterization in optical projection printing.
Abstract: This dissertation presents a full framework for modeling transmission effects due to three-dimensional mask topography in optical lithography from solving Maxwell's equations using rigorous simulation through fast-CAD for full chip level aerial image quality characterization in optical projection printing As the semiconductor industry advances to the 22nm technology node where features are sub-wavelength, lithography imaging must be accurate to the nanometer Non-ideal transmission caused by scattering off of mask edges has become an increasingly important source of inaccuracies in lithography modeling Here mask edge effects are treated in two modules: modeling the near field scattering phenomena and then moving that information into fast-CAD first cut accurate simulation Phase errors induced by mask edges lead to an asymmetric behavior through focus, which when combined with polarization dependent effects lead to significant loss in the process window Phase shifting masks, leveraging image benefits of 0 degree and 180 degree transmission, further complicate the interplay of partial signal delay and the resulting complex phase errors It is shown that for even conservative imaging scenarios up to 40% of the focus latitude is lost Two methods for characterizing this scattering induced by mask edges are introducedThe first is an experimental approach, which uses gratings to characterize the polarization dependent magnitude of these errors as might be utilized in an inexpensive mask monitoring apparatus The second method examines the direct near field behavior with simulation, leading to more accurate phase information as well as guidelines for edge-to-edge cross-talk A MoSi attenuating 180 degree phase shift mask was characterized in detail, with boundary layer values of about 20 nm (1/10 wavelengths) in mask dimensions even for high off-axis illumination Non-attenuating chromeless masks and complicated mask stacks such as TaSiO2 showed significant electromagnetic errors as high as 1/4 wavelengths, suggesting that they are not viable for advanced lithography applications Further, a study of a hypothetical thin phase shifting mask showed that the phase error effects is inherent to the use of neighboring phase wells, and cannot be remedied by material improvementsThe most significant contribution of this dissertation is the development of Source-Pupil Kernel Convolution with Pattern Matching (SP-KCPM) that connects the information gained from boundary layer modeling to fast-CAD pattern matching tools, achieving a 10^4 speedup compared to conventional imaging SP-KCPM is built on a computational engine developed by Frank Gennari that optimizes the process of pixel based multiplication of a target pattern across large layouts The degree of similarity is then used in SP-KCPM to estimate aerial image values Full complex interactions are included, and along with a pupil-based framework enables more general imaging by including additional phenomena such as defocus, zernike aberrations, measured aberrations, and potentially resist and polarization effects without needing separate kernels or algebraic perturbations Since the pupil calculation is generated automatically and can combine many effects, the need for deriving and confounding multiple physical phenomena has been eliminated Proximity effects between features are also accounted for, removing the need for a prior image calculation or restrictions to a specific image contour A new coherent source model combined with source splitting is used to generalize the aerial image quality assessment to distributed off-axis sources utilized in advanced resolution enhancement techniquesThis distributed source-pupil based convolution method has guaranteed impressive accuracy well beyond that historically reported for kernel convolution pattern matching methods at full chip speeds, thus enabling many new applications Careful implementation considerations such as pattern size, gridding, normalization, and source clustering guided the development of a very accurate system For various sources, dipole, annular, quad, and pixelated optimized sources, R^2 correlation is shown to be above 099 Additionally, effects of defocus, zernike aberrations, background aberrations, and asymmetric sources have all been shown to be accurate As an example of new applications, SP-KCPM was tested on highly pixelated sources used in source-mask-optimization, and accuracy of R^2 = 099 was achieved on general layouts by splitting the source into 12 regions This capability is used to demonstrate the ability to make decisions between source distributions and mask blanks Realtime tracking of mask changes facilitates further applicability in optical proximity correction is sufficiently fact for interoperability as part of an optimization scheme Hotspot detection is used to quickly make decisions between sources or mask types by assessing the impact an optimized source solution over a larger non-optimized layout region Real time tracking of mask changes opens the door for SP-KCPM to be used for optimization techniques and optical proximity correction (OPC) SP-KCPM is shown to be a general tool, useful wherever fast imaging is at a premium with applicability in many forms of optical imaging such as inspection and character recognition, in addition to standard projection printing

8 citations


Proceedings ArticleDOI
29 Apr 2010
TL;DR: In this paper, the authors describe the basic theory of a reticle inspection-based CDU tool, and results on advanced memory masks, and discuss possible applications of CDU maps for optimizing the mask manufacturing and wafer fabrication processes.
Abstract: The Critical Dimension Uniformity (CDU) specification on photo-mask is getting increasingly tighter which each successive node. The ITRS roadmap for optical masks indicates that, the CDU (3 sigma) for dense lines for binary or attenuated phase shift mask is 3.4nm for 45nm half-pitch (45HP) node and will go down to 2.4nm for 32HP node. The current variability in mask shop processes results in CDU variation across the photo-mask of ~2-3nm. Hence, we are entering in a phase where the mask CDU specification is approaching the limit of the capability of the current POR (process on record). Hence, mask shops have started exploring more active mechanisms to improve or compensate for the CDU of the masks. A typical application is in feeding back the CDU data to adjust the mask writer dose and compensate for non-uniformity in the CDs, resulting in improved quality of subsequent masks. Another option is to feed the CD uniformity information forward into the wafer FAB and adjust the scanner dose to correct for reticle non-uniformity. For these purposes mask makers prefer a dense measurement of CDs across the reticle in a short time. Mask makers are currently using the CD-SEM tool for data collection. While the resolution of SEM data ensures its position as the industry standard, an output map of CDU from a reticle inspection tool has the advantage of denser sampling over larger areas on the mask. High NA reticle inspection systems scan the entire reticle at high throughput, and are ideally suited for collecting CDU data on a dense grid. In this paper, we describe the basic theory of a new, reticle inspection-based CDU tool, and results on advanced memory masks. We discuss possible applications of CDU maps for optimizing the mask manufacturing and wafer production processes.

7 citations


Proceedings ArticleDOI
TL;DR: In this paper, the authors report on three different styles and applications of strong phase shift masks (PSMs) over the last decade, including alt-PSM for gate patterning, altPSM with assist features for contact patterning and Pixelated Phase Masks (PPMs) for metal layer patterning.
Abstract: Intel has reported on three separate styles and applications of strong phase shift masks (PSMs) over the last decade including alt-PSM for gate patterning, alt-PSM with assist features for contact patterning and Pixelated Phase Masks (PPMs) for metal layer patterning. Each had a prominent role in Intel's Design For Manufacturing (DFM) infrastructure development in terms of design rules and DFM tooling. By gradually inserting design rule changes for alt-PSM for gate patterning starting from the 130nm technology node, density and design impact were minimally effected. Alt-PSM for contact layer required development of complex methods of SRAF placement and coloring while also forcing advances in phase shift mask manufacturing infrastructure. Pixelated phase masks for metal patterning when combined with Inverse Lithography Techniques (ILTs) were successful in supporting a high level of flexibility for metal design rules including multiple feature sizes, pitches and two-dimension content.

7 citations


Patent
09 Mar 2010
TL;DR: In this article, a phase shift mask and a normal photomask are used to prepare a semiconductor device for manufacturing, followed by a step of constructing an interlayer insulating film on the second wiring layer.
Abstract: According to one embodiment of the present invention, a method of manufacturing a semiconductor device includes below steps. A step of preparing a phase shift mask and a normal photomask. A step of stacking a first wiring layer on a semiconductor substrate, and further stacking, on the first wiring layer, a second wiring layer. The second wiring layer includes a second wiring and third wiring. A step of stacking an interlayer insulating film on the second wiring layer. A step of forming, in the interlayer insulating film, a first opening in which the second wiring is exposed, and a second opening in which the third wiring is exposed by photolithography using the normal photomask. A step of burying a metal in the first opening and the second opening. A step of providing a pad to be overlaid on the first and second openings.

6 citations


Proceedings ArticleDOI
29 Apr 2010
TL;DR: In this paper, the performance of different media under the UV photolysis effect is explored for various steps in the cleaning process, including phase/transmission and CD change on a PSM (Phase Shift Mask) compared between regular and modified processes.
Abstract: The optical performance stability of a photomask is one of th e most critical factors in the photolithography process and stringent specifications create greater challenges with each advancing technology node. Throughout its lifetime, a photomask is exposed to a variety of cleaning cycles. It is essential that the integrity of the mask is preserved throughout each of these processes. Standard mask cleaning treatments include surface preparation with 172nm VUV for better wetting, organic resist/particle removal with aqueous ozone (DIO 3 ) and residual ion removal fo r haze control. However, high energy radiations from 172nm VUV have been reported to cause overlay shift and wet oxidizing chemistries adversely affect mask CD and optical properti es, ultimately influencing lithography performance. Previously, HamaTech APE successfully demonstrated an advanced cleaning method using photolyzed DIO 3 with minimal metal layer damage. In this paper, performance of different media under the UV photolysis effect is explored for various steps in the cleaning process. Photolyzed DI water based surface preparation of photomask under atmospheric conditions without any overlay shift is demonstrat ed. Alternative chemicals with higher photolysis rates are explored for resist stripping applications. Phase/Transmission and CD change on a PSM (Phase Shift Mask) are compared between regular and modified processes. Potential improvements in residual ion removal using combination of radiation and hot DI wate r are also presented. Keywords: Mask cleaning, CD uniformity control, photolysis, Resist stripping, VUV ozone process, Haze, Double-patterning, 193 immersion technology

5 citations


Patent
20 Sep 2010
TL;DR: A photomask set includes at least two masks that combine to form a device pattern in a semiconductor device as discussed by the authors, such that the compensation features alleviate proximity effects during the formation of device features.
Abstract: A photomask set includes at least two masks that combine to form a device pattern in a semiconductor device. Orthogonal corners may be produced in a semiconductor device pattern to include one edge defined by a first mask and an orthogonal edge defined by a second mask. The mask set may include a first mask with compensation features and a second mask with void areas overlaying the compensation features when the first and second masks are aligned with one another, such that the compensation features are removed when patterns are successfully formed from the first and second masks. The compensation features alleviate proximity effects during the formation of device features.

5 citations


Patent
06 Dec 2010
TL;DR: In this article, a method for fabricating a phase shift mask in extrea ultra-violet lithography is provided, which improves optical density of a frame region by forming a reflection reduction part on an absorption layer pattern.
Abstract: PURPOSE: A method for fabricating a phase shift mask in extrea ultra-violet lithography is provided to improve optical density of a frame region by forming a reflection reduction part on an absorption layer pattern of a frame region. CONSTITUTION: A method for fabricating a phase shift mask in extrea ultra-violet lithography includes the steps of: forming a reflective layer for extreme ultraviolet radiation on a substrate including main chip regions(210) and frame region(220); forming a phase shifter layer pattern on the reflective layer so that the reflective layer is selectively exposed; and forming a reflectance reduction part(360) blocking extrea ultra-violet lithography on the phase shifter layer pattern.

Patent
18 Feb 2010
TL;DR: In this article, a method for manufacturing a halftone phase shift mask comprising a transparent substrate and a phase shift film formed on the transparent substrate having a patterned part was proposed.
Abstract: PROBLEM TO BE SOLVED: To provide a method for manufacturing a halftone phase shift mask, by which changes in optical characteristics of a phase shift film upon modifying the phase shift mask and cleaning the mask can be suppressed, and dimensional changes and film reduction of a patterned light-shielding film made of a chromium material occurring upon modifying the phase shift mask can be suppressed SOLUTION: The method for manufacturing a halftone phase shift mask comprising a transparent substrate and a phase shift film formed on the transparent substrate and having a patterned part includes: a mask preparation step of preparing a halftone phase shift mask prior to modification of a phase shift film by subjecting the mask to at least a process of forming the patterned part; and a phase shift film modification step of storing the halftone phase shift mask prior to modification of the phase shift film in a treatment chamber having a nitrogen atmosphere and subjecting the phase shift mask to a radiation energy irradiation treatment and heat treatment COPYRIGHT: (C)2010,JPO&INPIT

Patent
19 Feb 2010
TL;DR: In this article, a phase shift mask having a plurality of mask patterns or mask data thereof is prepared, and an overlapped focus range in each mask pattern in a case where a result of exposure to each of the mask patterns, obtained by an exposure experiment or a lithography simulation, meets a desired dimension is obtained.
Abstract: A phase shift mask having a plurality of mask patterns or mask data thereof is prepared, and an overlapped focus range in each of the mask patterns in a case where a result of exposure to each of the mask patterns, obtained by an exposure experiment or a lithography simulation, meets a desired dimension is obtained. A digging depth is determined at discretion based on the obtained overlapped focus range.

Patent
Se-gun Moon1, Dong-Seok Nam1, Hoon Kim1
08 Oct 2010
TL;DR: A phase shift mask has a first region and a second region in a transverse direction including a transparent layer, a phase shift pattern disposed in the first region, a transmittance control layer pattern disposing in the second region, and a shading layer pattern disposed on the Transmittance Control Layer Pattern as discussed by the authors.
Abstract: A phase shift mask having a first region and a second region in a transverse direction includes a transparent layer, a phase shift pattern disposed in the first region, a transmittance control layer pattern disposed in the second region, and a shading layer pattern disposed on the transmittance control layer pattern. The phase shift pattern has a first pattern including a transparent material and a second pattern including metal. The phase shift mask may prevent haze effects through a cleaning process using an alkaline cleaning solution.

Patent
14 Jan 2010
TL;DR: In this article, a phase shift part is an engraved part engraved from the surface of a light transmitting substrate to an engraved depth that induces a predetermined phase difference, and a light shielding film is provided to block exposure light transmitting through the light transmission substrate in an area out of the transfer pattern area due to etching.
Abstract: PROBLEM TO BE SOLVED: To provide a method for manufacturing a phase shift mask achieving compatibility between thinning of an etching mask layer required for the generation of a 32 to 22 nm half pitch (hp) and securing latitude of the optical density design of a shielding zone. SOLUTION: A phase shift part is an engraved part engraved from the surface of a light transmitting substrate to an engraved depth that induces a predetermined phase difference. The surface of the light transmitting substrate to be engraved has an etching mask film 10 made of a material that is substantially dry etched by a chlorine-based gas but substantially not dry etched by a fluorine-based gas and that functions as an etching mask upon forming an engraved part at least until the etching process reaches the engraved depth. On the opposite surface of the light transmitting substrate, a light shielding film 20 is provided, for forming a light shielding part (shielding zone) to block exposure light transmitting through the light transmitting substrate in an area out of the transfer pattern area due to etching. COPYRIGHT: (C)2010,JPO&INPIT

Patent
22 Apr 2010
TL;DR: In this article, the authors proposed a method to suppress loss in critical dimension of a mask pattern during cleaning by irradiating the mask with UV rays, where the mask is a halftone phase shift mask having a pattern formed of a MoSi-based molybdenum silicide film.
Abstract: PROBLEM TO BE SOLVED: To provide a method of suppressing loss in critical dimension of a mask pattern during cleaning by irradiating the mask with UV rays. SOLUTION: In a method of maintaining the critical dimension of a mask, loss in the dimensional width (critical dimension) of a pattern is suppressed even when a mask is repeatedly cleaned, the mask which is a halftone phase shift mask having a pattern formed of a MoSi-based molybdenum silicide film essentially containing MoSi, MoSiO, MoSiON or MoSiN and a chromium-based light-shielding film on a quartz substrate, by irradiating the side surface of the pattern with UV ray excimer light at a wavelength of 126 to 222 nm to passivate the side surface while supplying ultraclean air, a mixture gas containing nitrogen and oxygen, or a gas containing oxygen to the mask. COPYRIGHT: (C)2010,JPO&INPIT

Patent
16 May 2010
TL;DR: In this article, a photomask blank for manufacturing a phase shift mask having a light-transmitting substrate provided with a phase-shift part adapted to give a predetermined phase difference to transmitted exposure light is presented.
Abstract: A photomask blank for manufacturing a phase shift mask having a light-transmitting substrate provided with a phase shift part adapted to give a predetermined phase difference to transmitted exposure light. An etching mask film serving as an etching mask when forming a phase shift part is provided on the front surface side, where the phase shift part is to be formed, of the substrate. A light-shielding film serving to shield exposure light is provided on the back surface side (opposite-side surface) of the substrate.

Patent
03 Feb 2010
TL;DR: In this paper, a method for forming a minute contact hole of a semiconductor device is provided to reduce the pitch of an etching area by forming a sub-mask layer in the sidewall of a photoresist pattern formed on the hard mask layer.
Abstract: PURPOSE: A method for forming a minute contact hole of a semiconductor device is provided to reduce the pitch of an etching area by forming a sub-mask layer in the sidewall of a photoresist pattern formed on the hard-mask layer in a minute contact hole forming process CONSTITUTION: An etched layer(11), a hard-mask film(12) and a photoresist pattern(13) are successively formed on a semiconductor substrate(10) The pitch of the exposed area in the hard mask film decreases by forming the sub-mask pattern(14) on the sidewall of the photoresist pattern The etched layer is exposed by patterning the hard mask layer exposed A contact hole(15) is formed by etching the etched layer exposed The photoresist pattern uses a BIM(Binary Intensity Mask) or a PSM(Phase Shift Mask) as an exposure mask

Patent
26 May 2010
TL;DR: In this article, double exposure is conducted using a halftone phase shift mask comprising gate patterns, an assist pattern having a resoluble line width inserted into a part where the distance between the gate patterns is long, and an assist mask having a line width not larger than a resolution limit.
Abstract: Double exposure is conducted using a halftone phase shift mask comprising gate patterns, an assist pattern having a resoluble line width inserted into a part where the distance between the gate patterns is long, and an assist pattern having a line width not larger than a resolution limit, and a Levenson phase shift mask comprising a shift pattern corresponding to the gate pattern of the photomask.In this regard, the assist patterns are erased and only the gate patterns are transferred. When a pattern is transferred by double exposure, common depth of focus of the pattern is enhanced and a highly uniform line width is realized resulting in a highly reliable semiconductor device.

Patent
12 Mar 2010
TL;DR: In this paper, a method for fabricating a phase inversion mask is provided to secure the reproduction of the critical resistence of a phase-inversion film by processing surface treatment through plasma before etching the phase-intversion film.
Abstract: PURPOSE: A method for fabricating a phase inversion mask is provided to secure the reproduction of the CRITICAL DIMENSION of a phase inversion film by processing surface treatment through plasma before etching the phase inversion film. CONSTITUTION: In a method for fabricating a phase inversion mask, A phase inversion layer(210) and light shield layer are successively formed on a mask substrate(200). A first resist pattern limiting a circuit pattern on a light shield layer is formed. The mask substrate having the first resist pattern is surface-treated through plasma including oxygen and chlorine The light shield layer is etched using the first resist pattern as a mask to form a light shielding pattern(220a). A capping layer(240) is formed in the surface of the light shielding pattern.

Patent
Chang Ju Choi1, Cheng-Hsin Ma, Sven Henrichs, Robert H. Olshausen, Yulia Korobko 
23 Dec 2010
TL;DR: In this paper, a first level process comprising lithography and etching to form mask frame and in-die areas is described, followed by a second level process consisting of etching and mask feature extraction.
Abstract: Techniques are disclosed for fabricating lithography masks, which include a first level process comprising lithography and etching to form mask frame and in-die areas, and a second level process comprising lithography and etching to form one or more mask features in the in-die area. At least one of the mask features has a smallest dimension in the nanometer range (e.g., 32 nm technology node, or smaller). The techniques may be embodied, for example, in a lithography mask for fabricating semiconductor circuits. In one such example case, the mask includes a frame area and an in-die area formed after the frame area. The in-die area includes one or more mask features, at least one of which has a smallest dimension of less than 100 nm. The mask has a critical dimension bias of less than 20 nm and a structure that comprises a substrate and an absorber layer.

Journal ArticleDOI
TL;DR: In this article, a phase shift mask for UV exposure was used using Reactive ion etching (RIE) and laser microlens array (MLA) lithography.
Abstract: Laser microlens array (MLA) lithography was used to fabricate arbitrary periodic array of patterns on photoresist. Reactive ion etching (RIE) was then utilized to etch and transfer the patterns down to the fused silica substrate. By controlling the etching process, the etched surface was 180° out of phase with the unetched surface. Subsequently, the patterns were used as a phase shift mask for UV exposure. Destructive interference occurred at the edges of the phase shift structures, resulting in regions of low light intensities at the edges. It formed periodic array of smaller patterns on the unexposed photoresist, with feature sizes around 290 nm. Simulation verified the experimental result.

Patent
21 Sep 2010
TL;DR: In this article, a light-shielding film is formed on the surface of a substrate, consisting of a pair of light-transmitting aperture patterns having substantially the same line width, extended parallel to each other at a given distance, and isolated from other light transmitting aperture patterns.
Abstract: PROBLEM TO BE SOLVED: To provide a method for producing a semiconductor device, by which a fine pattern is formed even without using an assist pattern method or a phase shift mask and defect inspection on a mask is easily performed.SOLUTION: A light-shielding film 2 is formed on the surface of a substrate 1. The light-shielding film 2 includes a pair of light-transmitting aperture patterns 2a, having substantially the same line width, extended parallel to each other at a given distance, and isolated from other light transmitting aperture patterns 2a. The exposure luminous energy on exposing a photoresist by using the photomask 5 (exposure luminous energy given to a sufficiently large aperture pattern) is 4 times or more and 20 times or less of the exposure luminous energy at a boundary state when the photoresist changes from soluble into insoluble with a developer solution by exposure or the exposure luminous energy at a boundary state when the photoresist changes from insoluble to soluble.

Proceedings ArticleDOI
29 Apr 2010
TL;DR: In this article, the authors compare the roles of aerial imaging and high resolution mask inspection in the mask house and conclude that the high resolution image closely represents the mask plane pattern and is an optimal environment for performing mask printability characterization and qualification.
Abstract: Advanced photomasks exploit complex patterns that show little resemblance to the target printed wafer pattern. The main mask pattern is modified by various OPC and SRAF features while further complexity is introduced as source-mask-optimization (SMO) technologies experience early adoption at leading manufacturers. The small size and irregularity of these features challenge the mask inspection process as well as the mask manufacturing process. The two major concerns for mask inspection and qualification efficacy of advanced masks are defect detection and photomask inspectability. Enhanced defect detection is critical for the overall mask manufacturing process qualification which entails characterization of the systematic deviations of the pattern. High resolution optical conditions are the optimal solution for manufacturing process qualification as well as a source of additional information for the mask qualification. Mask inspection using high resolution conditions operates on an optical image that differs from the aerial image. The high resolution image closely represents the mask plane pattern. Aerial imaging mode inspection conditions, where the optics of the inspection tool emulates the lithography manufacturing conditions in a scanner, are the most compatible imaging solution for photomask pattern development and hence mask inspectability. This is an optimal environment for performing mask printability characterization and qualification. In this paper we will compare the roles of aerial imaging and high resolution mask inspection in the mask house.

Patent
07 Dec 2010
TL;DR: In this paper, a phase shift mask is provided to form accurate patterns on a semiconductor device by controlling a focal distance using a phase shifter generating different phase difference, which is used to generate different phase differences.
Abstract: PURPOSE: A phase shift mask is provided to form accurate patterns on a semiconductor device by controlling a focal distance using a phase shifter generating different phase difference. CONSTITUTION: A phase shift mask(20) includes a transparent substrate(200), a first phase shifter(201) which converts exposure light passing through a transparent substrate into a first phase difference, and a second phase shifter(202) which converts exposure light passing through a transparent substrate into a second phase difference and distanced from the first phase shifter.

Patent
17 Jun 2010
TL;DR: In this paper, a halftone mask is used for immersion exposure by quadrupole polarization illumination through a high NA lens using an ArF excimer laser as an exposure light source, the pattern comprising a single layer semitransparent film that transmits exposure light at a predetermined transmittance and changes phases.
Abstract: PROBLEM TO BE SOLVED: To provide a halftone mask as a mask for forming a pattern having a half pitch of not more than 55 nm on a wafer, the mask controlling influences of a three-dimensional effect of the mask on transfer characteristics of the mask pattern to be desirable and giving a good transferred image having high contrast and a small MEEF (mask error enhancement factor) SOLUTION: The halftone mask is used for immersion exposure by quadrupole polarization illumination through a high NA lens using an ArF excimer laser as an exposure light source, and has a pattern formed on a transparent substrate, the pattern comprising a single layer semitransparent film that transmits exposure light at a predetermined transmittance and changes phases The mask pattern gives a node with a half pitch of 38 nm to 55 nm when transferred onto a wafer; and the phase difference between the transmitted light through the mask pattern of the semitransparent film and the transmitted light through the transparent substrate ranges from 161° to 172° COPYRIGHT: (C)2010,JPO&INPIT

Patent
06 Jul 2010
TL;DR: In this article, a method for manufacturing a phase shift mask is provided to overcome a mask characteristic changing phenomenon and eliminate defect generating possibilities due to the implementation of a plurality of processes, including phase shift layer, light shielding layer, and a resist layer.
Abstract: PURPOSE: A method for manufacturing a phase shift mask is provided to overcome a mask characteristic changing phenomenon and eliminate defect generating possibilities due to the implementation of a plurality of processes. CONSTITUTION: A method for manufacturing a phase shift mask includes the following: A phase shift layer, a light shielding layer, and a resist layer are formed on a transparent substrate(100) on which a first region(A) and a second region(B) are defined. A resist layer pattern is formed through a primary exposing process and a developing process. A phase shift layer pattern and a light shielding layer pattern(120b) are formed. Parts of the light shielding patterns(125a, 125b) in the first region and the second region are exposed through a secondary exposing process and a developing process. The phase shift layer pattern in the first region is exposed. The resist layer pattern is eliminated.

Patent
14 Oct 2010
TL;DR: In this paper, a manufacturing method of a phase shift mask is provided to compensate the changed phase shift value generated by over-etching a substrate, where the phase value and the transmissibility at the over-etched phase shift layer pattern are measured by removing the light shielding pattern.
Abstract: PURPOSE: A manufacturing method of a phase shift mask is provided to compensate the changed phase shift value generated by over-etching a substrate. CONSTITUTION: A manufacturing method of a phase shift mask comprises the following; forming a light shielding pattern and a phase shift layer pattern(130) on a light penetrating substrate(100); partially exposing the surface of the light penetrating substrate and the phase shift layer pattern by removing the light shielding pattern; measuring the phase value and the transmissibility at the over-etched phase shift layer pattern while removing the light shielding pattern; forming a compensation film(135) on the rear side of the light penetrating substrate; and recessing the compensation layer.

Patent
04 Oct 2010
TL;DR: In this paper, the phase shift mask is obtained by using an ultra-thin film (chromium nitride film) on a quartz substrate for forming a phase shift pattern and further, forming a resist film 3 thereon, as a stock material, and selectively etching the light-shielding film 4 by using a resist 5 so as to expose the phase-shift pattern 1P, while leaving the light shielding part 4A at a required portion.
Abstract: PROBLEM TO BE SOLVED: To provide a method for producing a phase shift mask, capable of contributing to fineness and high accuracy of a circuit pattern.SOLUTION: The phase shift mask 20 is obtained by using a phase shift mask blank 10, prepared by forming an ultra-thin film (chromium nitride film) 2 on a quartz substrate 1 for forming a phase shift pattern 1P and further, forming a resist film 3 thereon, as a stock material, and through steps of: forming a resist pattern 3P in the resist film 3; etching the ultra-thin film 2, by using the resist pattern as a mask to form an ultra-thin film pattern 2P; etching the quartz substrate 1 by using the ultra-thin film pattern 2P as a mask to form a phase shift pattern 1P; forming a light-shielding film 4 on the substrate 1, after completing formation of the phase shift pattern 1P and removal of the resist pattern 3 and the ultra-thin film pattern 2P; and selectively etching the light-shielding film 4 by using a resist 5 so as to expose the phase shift pattern 1P, while leaving the light-shielding part 4A at a required portion. The film thickness of the ultra-thin film 2 is set to a minimum thickness necessary to form the phase shift pattern in the quartz substrate 1, by using the ultra-thin film pattern 2P as the mask.

Patent
01 Oct 2010
TL;DR: In this article, the authors proposed a chromeless phase shift mask that can improve CD performance significantly and can keep a light-shielding film on a non-engraved area with high accuracy.
Abstract: PROBLEM TO BE SOLVED: To provide a chromeless phase mask and a method for producing the chromeless phase mask that can improve CD performance significantly and can keep a light-shielding film on a non-engraved area with high accuracy.SOLUTION: A chromeless phase shift mask includes a substrate that is transmissive to exposure light, and that has an engraved portion, where the substrate is engraved, and a non-engraved portion, where the substrate is not engraved, whereby the phase difference between light transmitted through the engraved portion and light transmitted through the non-engraved portion is controlled. A light-shielding film formed on the substrate includes: a film A that is formed on the substrate, where the transmittance and the phase shifting amount thereof with regard to the exposure light are controlled, and that is made of a material that can be etched by an etching process using an etching gas consisting essentially of a chlorine-based gas; and a film B that is formed on the film A, where the transmittance thereof with regard to the exposure light are controlled, and that is made of a material that can be etched by an etching process using an etching gas consisting essentially of a fluorine-based gas.