scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2013"


Proceedings ArticleDOI
TL;DR: In this article, the performance of different mask options for sub-13 nm EUV-lithography with a 4x demagnification and an NA of 0.45 was investigated.
Abstract: This paper investigates the performance of different mask options for sub-13 nm EUV-lithography with a 4x demagnification and an NA of 0.45. The considered mask options include standard binary masks, standard attenuated phase-shift masks, etched attenuated phase-shift masks and embedded-shifter phase-shift masks. The lithographic performance of these masks is investigated and optimized in terms of mask efficiency, NILS, DoF, OPC-performance and telecentricity errors. A multiobjective optimization technique is used to identify the most promising mask geometry parameters.

18 citations


Journal ArticleDOI
TL;DR: In this article, an elastomeric polydimethylsiloxane phase-shift mask was used to detect SAWs with a wavelength of 700 and 500 µm using a commercially available Si grating as a mold.
Abstract: We describe a convenient approach for measuring the velocity vSAW of surface acoustic waves (SAWs) of the near-surface layer of a material through optical pump-probe measurements. The method has a lateral spatial resolution of <10 μm and is sensitive to the elastic constants of the material within ≈300 nm of the surface. SAWs with a wavelength of 700 nm and 500 nm are generated and detected using an elastomeric polydimethylsiloxane phase-shift mask which is fabricated using a commercially available Si grating as a mold. Time-domain electromagnetics calculations show, in agreement with experiment, that the efficiency of the phase-shift mask for generating and detecting SAWs decreases rapidly as the periodicity of the mask decreases below the optical wavelength. We validate the experimental approach using bulk and thin film samples with known elastic constants.

15 citations


Patent
13 May 2013
TL;DR: In this article, a light-shielding film has an optical density of 2 or higher and 4 or lower and has a reflection-preventing function, which can reduce the burden on resist pattern or hard mask pattern at the time of transferring a pattern on the light shield film and therefore it is possible to carry out pattern transfer with high precision.
Abstract: A light-shielding film 2 formed on a transparent substrate 1 has a monolayer structure or a multilayer structure. At least one layer is formed by film-formation with a chromium-containing material including tin. The light-shielding film 2 has an optical density of 2 or higher and 4 or lower and has a reflection-preventing function. The layer made of a chromium-containing material including tin, which constitutes the light-shielding film 2, can cause a significant increase in the etching rate at the time of chlorine-containing dry etching including oxygen. Thus, burden on the resist pattern or hard mask pattern at the time of transferring a pattern on the light-shielding film is reduced, and therefore it is possible to carry out pattern transfer with high precision.

13 citations


Journal ArticleDOI
TL;DR: In this article, an attenuated phase shift mask (PSM) is proposed as a potential method for extending the patterning limit to below 16 nm, which has a refractive index similar to that of the TaN absorber but with a lower absorption value.
Abstract: The mask shadowing effect is a unique phenomenon caused by a mirror-based mask structure and an oblique incident angle of light in the extreme ultraviolet lithography process. This results in a horizontal–vertical (H–V) bias, an ellipticity in the contact hole pattern and, eventually, a patterning limit and critical dimension (CD) nonuniformity. Reducing the absorber thickness is the most effective method to minimize the mask shadowing effect, but this can deteriorate the mask image contrast. In this paper, an attenuated phase shift mask (PSM) is proposed as a potential method for extending the patterning limit to below 16 nm. By applying Mo as a phase shift layer, which has a refractive index (n) similar to that of the TaN absorber but with a lower absorption value, thin attenuated PSMs with various reflectivity values were obtained by controlling the Mo thickness. The proposed PSM consists of a 16.5-nm-thick TaN absorber layer and a 24-nm-thick Mo phase shifter on 2-nm-thick Ru-capped Mo/Si multilayers. This attenuated PSM results in 17.98% and 27.52% reductions in the mask error enhancement factor as well as 3.65 and 1.84 nm reductions in the H–V CD bias compared with the conventional binary intensity mask with a 70-nm-thick TaN absorber for the 22 nm line and space 1:1 vertical dense pattern under 0.25 and 0.33 numerical aperture illumination conditions, respectively. Moreover, a 10%–12% improved image contrast was obtained with 11%–17% reflectivity on the absorber stack, which corresponds to a 24–29 nm Mo thickness under 0.25 NA illumination conditions.

13 citations


Patent
13 May 2013
TL;DR: In this paper, a technique that can increase a dry-etching rate of a light-shielding film made of a chromium-containing material while assuring various characteristics required for the light-helming film is presented.
Abstract: A half-tone phase shift film 2 and a light-shielding film 3 are stacked on transparent substrate 1. The light-shielding film 3 has a monolayer structure or a multlayer structure. At least one layer is formed by film-formation with a chromium-containing material including tin. The half-tone phase shift film 2 is made of a molybdenum silicon nitride oxide. The layer made of a chromium-containing material including tin can cause a significant increase in the etching rate at the time of chlorine-containing dry-etching including oxygen. Thus, burden on the resist pattern or hard mask pattern at the time of transferring a pattern on the light-shielding film is reduced, and therefore it is possible to carry out pattern transfer with high precision. The present invention provides a novel technique that can increase a dry-etching rate of a light-shielding film made of a chromium-containing material while assuring various characteristics required for the light-shielding film.

13 citations


Patent
17 Apr 2013
TL;DR: In this paper, a phase shift mask is produced by adjusting the power density of the sputtering power in forming the phase shift layer so as to set an inclination angle of an inclined surface to one side of a glass substrate.
Abstract: PROBLEM TO BE SOLVED: To provide a method of producing a phase shift mask which enables appropriate control of the inclination angle in an inclination region of a phase shift pattern and a phase shift mask.SOLUTION: As a film formation condition for a phase shift layer, the power density of the sputtering power in forming a film by sputtering is controlled variably so as to form an arbitrary shape of an inclination region. More specifically, the etching condition in the phase shift layer is controlled by adjusting the power density of the sputtering power in forming the phase shift layer so as to set an inclination angle of an inclined surface to one side of a glass substrate.

11 citations


Journal ArticleDOI
TL;DR: In this article, the authors proposed palladium oxide (PdO) as an absorber material for an EUV mask that can print line-and-space patterns with a half pitch down to 14 nm.
Abstract: In this report, we propose palladium oxide (PdO) as an absorber material for an EUV mask that can print line-and-space patterns with a half pitch down to 14 nm. In our simulations, because of its low refractive index (n = 0.8634) and high extinction coefficient (k = 0.0536), an attenuated phase shift mask with a very thin (~20 nm) PdO absorber can provide an EUV contrast as high as 88% at a 14 nm half pitch under dipole illumination. This results in a very limited horizontal–vertical critical dimension bias (≤2.6 nm) and a sufficiently high normalized image log slope (≥2.78) down to a 14 nm half pitch.

9 citations


Patent
16 Jul 2013
TL;DR: In this article, a large-size photo mask is obtained by a structure, wherein a primary component of a light shielding film is chromium or a chromium compound, and the phase shift film is laminated on the light-healing film in a light-shielding region.
Abstract: The present invention provides a large-size photo mask which exposes a large-size region and has a structure suitable for forming fine patterns, and a producing method of the large-size photo mask. A large-size photo mask which is easily produced and is capable of transferring fine patterns is obtained by a structure, wherein a primary component of a light shielding film is chromium or a chromium compound, a primary component of a phase shift film is chromic oxide or chromic nitric oxide, and the phase shift film is laminated on the light shielding film in a light shielding region. Further, the large-size photo mask further comprises an antireflection film made of a chromium compound between the light shielding film and the phase shift film, and thereby reflection rate of the light shielding region is restrained.

7 citations


Patent
25 Jun 2013
TL;DR: In this article, a mask blank is used to manufacture a phase-shift mask having a thin-film pattern and a substrate-engraved pattern, which can be dry-etched by a fluorine-containing gas.
Abstract: Provided is a mask blank suitable for manufacturing a phase-shift mask having a substrate-engraved pattern and a thin-film pattern comprising a material that can be dry-etched by a fluorine-containing gas. A mask blank (100) is used to manufacture a phase-shift mask having a thin-film pattern and a substrate-engraved pattern. The mask blank (100) has a structure in which an etching stopper film (2), a pattern formation thin-film (3), and an etching mask film (4) are layered in this order on a transparent substrate (1). Here, the etching stopper film (2) comprises a material containing chromium and oxygen, in which the oxygen content is more than 50 at%. The pattern formation thin-film (3) comprises a material that can be dry-etched by a fluorine-containing gas. The etching mask film (4) comprises a material containing chromium, in which the chromium content is at least 45 at% and the oxygen content is no more than 30 at%.

7 citations


Proceedings ArticleDOI
09 Sep 2013
TL;DR: In this paper, the effects of mask etch process parameters and local mask environment, such as etch loading and line width, were compared. And a variety of SWA measurement methods were evaluated from three perspectives.
Abstract: As optical lithography is extended to the 14nm and 10nm technology nodes, sidewall angle (SWA) control of photomask features becomes increasingly important. The experiments to be reported here study SWA for advanced attenuated phase-shift photomasks. SWA is evaluated from three perspectives. First, the effects of mask etch process parameters will be studied. Second, the effects of local mask environment, such as etch loading and line width, will be tested. Finally, a variety of SWA measurement methods will be compared.

5 citations


Patent
25 Apr 2013
TL;DR: In this paper, a phase-shift mask is presented, which is a large-sized photomask that enables the exposure of a large area to light and has a constitution suitable for the formation of a fine pattern.
Abstract: Provided are: a phase-shift mask which is a large-sized photomask that enables the exposure of a large-sized area to light and has a constitution suitable for the formation of a fine pattern; and a method for producing the phase-shift mask. A large-sized phase-shift mask is produced, which can be produced easily and enables the transfer of a fine pattern. The large-sized phase-shift mask has such a constitution that a light-shielding film contains chromium or a chromium compound as the main component, a phase-shift film contains chromium oxide or oxidized chromium nitride as the main component, and the phase-shift film is laminated on the light-shielding film in the light-shielding area. The reflectance of the light-shielding area can be reduced by employing such a constitution that an anti-reflective film comprising a chromium compound is additionally provided between the light-shielding film and the phase-shift film.

Journal ArticleDOI
TL;DR: In this paper, a rigorous three-dimensional mask model for bilayer absorber alternating phase shift mask (AltPSM) with the rigorous coupled-wave analysis (RCWA) is established.
Abstract: Hyper numerical aperture (NA) and off-axis illumination enable extension of ArF lithography for 45 nm technology node and beyond. Also, rigorous electromagnetic field modeling is taken into account for the optical and topographical properties of the mask. A rigorous three-dimensional mask model for bilayer absorber alternating phase shift mask (AltPSM) with the rigorous coupled-wave analysis (RCWA) is established. First, the harmonic waves are expanded based on the least common multiple of the periods in order to model the diffraction of multiple grating layers with different periods. Second, Lalanne’s formulation is used to improve the convergence of RCWA for multiple grating layers in conical diffraction. Third, the enhanced transmittance matrix approach is also extended to conical diffraction to avoid the numerical instability. Given the chromium oxide/chromium AltPSM, the change of polarization state as a function of mask and incident light properties is investigated. When the linewidth is below 30 nm, the mask acts as a transverse magnetic field polarizer, which is not preferred in terms of image quality, so the mask-induced polarization effects must be considered in the hyper NA lithography.

Proceedings ArticleDOI
TL;DR: In this paper, a phase shift mask (PSM) was proposed for half-pitch (hp) down to 14 nm with 0.33NA off-axis illumination conditions with a generic resist model.
Abstract: In EUV Lithography, mask shadowing effect and photon shot noise effect are the main sources of patterning limit, critical dimension (CD) non-uniformity and low imaging properties. In this paper, the patterning performance of a 6% attenuated phase shift mask (PSM) is valuated, and the results show that this can be used for half-pitch (hp) down to 14 nm with 0.33NA due to the improved stochastic patterning properties. The proposed PSM consists of 26.5 nm of TaN as an absorber layer and 14 nm of Mo as a phase shifter on 2.5 nm thick Ru capped Mo/Si multilayers. This structure has ~6% reflectivity at the absorber stack and 180° phase shift. The improved stochastic resist patterning properties of PSM were compared with those of conventional binary intensity mask (BIM) with a 70 nm-thick TaN absorber for the 14 ~ 22 nm line and space (L/S) 1:1 dense pattern with 0.33NA off-axis illumination conditions with a EUV generic resist model.

Patent
18 Jul 2013
TL;DR: In this paper, a mask blank is used for manufacturing a transfer mask including a plurality of drawing steps and including a patterning step using an electron beam in the second-time drawing step.
Abstract: PROBLEM TO BE SOLVED: To provide a mask blank for suppressing dust emission in a peripheral edge of a substrate due to peeling of a resist film and for preventing charge-up during electron beam drawing.SOLUTION: The mask blank is to be used for manufacturing a transfer mask including a plurality of drawing steps and including a patterning step using an electron beam in the second-time drawing step. The mask blank includes at least a thin film comprising a material having conductivity for forming a transfer pattern and a positive resist film for electron beam drawing, successively formed substantially wholly on a major surface of a light-transmitting substrate. In a peripheral edge of the substrate major surface, the resist film is formed in a ground pin contact area where a ground pin of an electron beam drawing device comes into contact with, but not formed in an area out of the ground pin contact area.

Patent
07 Feb 2013
TL;DR: In this paper, a method for manufacturing a phase shift mask includes steps of: preparing a photomask blank having a light-shielding film and a first resist layer formed on a transparent substrate; forming a resist pattern, forming a first light shielding film pattern, and forming a shifter light-transmitting part by etching to remove an underlay of the light shielding film by using the first resist pattern as a mask.
Abstract: PROBLEM TO BE SOLVED: To reduce damages induced in a non-shifter light-transmitting part in a wet etching step for forming an undercut in the process of manufacturing a phase shift mask.SOLUTION: A method for manufacturing a phase shift mask includes steps of: preparing a photomask blank having a light-shielding film and a first resist layer formed on a transparent substrate; forming a first resist pattern; forming a first light-shielding film pattern by etching the light-shielding film by using the first resist pattern as a mask; forming a shifter light-transmitting part by etching to remove an underlay of the light-shielding film by using the first light-shielding film pattern or the first resist pattern as a mask; forming a second resist layer all over the transparent substrate; forming a second resist pattern; and forming a non-shifter light-transmitting part by etching the light-shielding film by using the second resist pattern as a mask.

Patent
24 Sep 2013
TL;DR: In this paper, a phase shift mask is provided to give high contrast exposure patterns without increase of exposure of light from an exposure apparatus and its production method, without increasing of the entire exposure.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask which gives high-contrast exposure patterns without increase of exposure of light from an exposure apparatus and its production method.SOLUTION: On a photomask substrate, there is provided a phase shift film 13 which reverses the phases of a translucent part 11, a light shielding part 12 and transmitted light, and the phase shift film 13 is arranged in a pattern boundary part composed of the light shielding part 12. The phase shift mask can reduce the exposure of the pattern boundary part exclusively without reduction of the exposure of a pattern part, enabling high-contrast patterning without increase of the entire exposure.

Patent
07 Oct 2013
TL;DR: In this paper, a phase shift mask blank for manufacturing a liquid crystal display device is used for manufacturing the liquid crystal device by using exposure light including at least one of i-line, h-line and g-line.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask blank for manufacturing a phase shift mask used for manufacturing a liquid crystal display device, capable of preventing a light shielding film pattern at an undercut part from bending and breaking even if the mask is cleaned.SOLUTION: A phase shift mask blank for manufacturing a liquid crystal display device is used for manufacturing the liquid crystal device by using exposure light including at least one of i-line, h-line and g-line and is used for manufacturing the phase shift mask having an engraved substrate. The phase shift mask blank for manufacturing the liquid crystal display device has a transparent substrate and a light shielding film formed on the transparent substrate. The light shielding film is formed of a material having resistance to an etchant used in forming a phase shift part, and has a thickness of 200 nm or more.

Patent
10 Dec 2013
TL;DR: In this article, a phase shift mask consisting of a transparent substrate and a band-pass filter layer is proposed to transmit light with a specific wavelength, for instance, 365 nm wavelength on the phase shift pattern part.
Abstract: The present invention provides a phase shift mask. The phase shift mask comprises a transparent substrate; a phase shift pattern part shifting the phase of light; and a band pass filter layer positioned on the phase shift pattern part and transmitting the light with a predetermined wavelength. According to the present invention, an exposure process using light with a specific wavelength is able to be performed without a process of installing a band pass filter in an exposure device and controlling, by forming a band pass filter layer transmitting light with a specific wavelength, for instance, 365 nm wavelength on the phase shift pattern part. As such, the process of installing a band pass filter in the existing exposure device and controlling is unnecessary, which enables to reduce the resources such as time or costs.

Proceedings ArticleDOI
16 Nov 2013
TL;DR: This paper puts forward a new LSB-ILT algorithm for process robustness improvement with attenuated Phase Shift Mask (att-PSM) which is extensively used in the semiconductor foundries and adopts a new form of the cost function by adding the objective function of process variation band (PV band) to the nominal cost.
Abstract: Inverse lithography technology (ILT) is one of the promising resolution enhancement techniques (RET), as the advanced integrated circuits (IC) technology nodes still use the 193nm light source. Among all the algorithms for ILT, the level-set-based ILT (LSB-ILT) is a feasible choice with good production result in practice. However, existing ILT algorithms optimize mask at nominal process condition without giving sufficient attention to the process variations, and thus the optimized masks show poor performance with focus and dose variations. In this paper, we put forward a new LSB-ILT algorithm for process robustness improvement with attenuated Phase Shift Mask (att-PSM) which is extensively used in the semiconductor foundries. In order to account for the process variations in the optimization, we adopt a new form of the cost function by adding the objective function of process variation band (PV band) to the nominal cost. The test patterns are from the M1 layer of a 28nm layout. Experimental results show that our new algorithm has a larger process window (PW) and reduces the process manufacturability index (PMI) by 41.37% compared with the LSB-ILT algorithm without PV band consideration.

Proceedings ArticleDOI
09 Sep 2013
TL;DR: In this article, a metal layer in a 90nm technology node has been measured with a SEM-CD in mask shop and also inspected on a KLA-Tencor X5.2 inspection system, with pixels 125 and 90nm.
Abstract: 193nm binary photomasks are still used in the semiconductor industry for the lithography of some critical layers for the nodes 90nm and 65nm, with high volumes and over long periods. However, these 193nm binary photomasks can be impacted by a phenomenon of chrome oxidation leading to critical dimensions uniformity (CDU) degradation with a pronounced radial signature. If not detected early enough, this CDU degradation may cause defectivity issues and lower yield on wafers. Fortunately, a standard cleaning and repellicle service at the mask shop has been demonstrated as efficient to remove the grown materials and get the photomask CD back on target.Some detection methods have been already described in literature, such as wafer CD intrafield monitoring (ACLV), giving reliable results but also consuming additional SEM time with less precision than direct photomask measurement. In this paper, we propose another approach, by monitoring the CDU directly on the photomask, concurrently with defect inspection for regular requalification to production for wafer fabs. For this study, we focused on a Metal layer in a 90nm technology node. Wafers have been exposed with production conditions and then measured by SEM-CD. Afterwards, this photomask has been measured with a SEM-CD in mask shop and also inspected on a KLA-Tencor X5.2 inspection system, with pixels 125 and 90nm, to evaluate the Intensity based Critical Dimension Uniformity (iCDU) option. iCDU was firstly developed to provide feed-forward CDU maps for scanner intrafield corrections, from arrayed dense structures on memory photomasks. Due to layout complexity and differing feature types, CDU monitoring on logic photomasks used to pose unique challenges.The selection of suitable feature types for CDU monitoring on logic photomasks is no longer an issue, since the transmitted intensity map gives all the needed information, as shown in this paper. In this study, the photomask was heavily degraded after more than 18,000 300mm wafers exposed and the cleaning brought it back almost to its original state after manufacture. Wafer CD, photomask CD and iCDU results can be compared, before and after a standard mask shop cleaning. Measurement points have be chosen in logic areas and SRAM areas, so that their respective behaviours can be studied separately. Transmitted maps before and after cleaning were analysed in terms of CD shift and CDU degradation. The delta map shows a nice correlation with photomask CD shift. iCDU demonstrated the capability to detect a reliable CD range degradation of 5nm on photomask by a comparison between a reference inspection and the current inspection. Die to die inspection mode provides also valuable data, highlighting the degraded chrome sidewalls, more in the photomask centre than on the edges. Ultimately, these results would enable to trigger the preventive cleanings rather than on predefined thresholds. The expected gains for wafer fabs are cost savings (adapted cleanings frequency), increased photomask availability for production, longer photomask lifetime, no additional SEM time neither for photomask nor on wafer.

Journal ArticleDOI
01 Dec 2013-Optik
TL;DR: In this article, Lee's formulation based on rigorous coupled wave analysis is applied to simulate the bi-layer grating diffraction in lithography, and polarization states as function of mask and incident light properties are evaluated.

Proceedings ArticleDOI
09 Sep 2013
TL;DR: This paper measured the reflectance of the open multilayer areas and theabsorber areas by using an EUV reflectometer at various absorber thicknesses, and derived the phase-shift values from these measurements assuming a flat mask interference model of diffracted lights.
Abstract: We directly extracted the phase-shift values of an EUV mask by measuring the reflectance of the mask. The mask had gradient absorber thickness along vertical direction. We measured the reflectance of the open multilayer areas and the absorber areas by using an EUV reflectometer at various absorber thicknesses. We also measured the diffracted 0th order light intensities of grating patterns having several sizes of lines or holes. The phase-shift values were derived from these data assuming a flat mask interference model of the diffracted lights. This model was corrected by including the scattering amplitude from the pattern edges. We recalculated the phase-shift values which was free from the mask topological effect. The extracted phase-shift value was close to 180 degrees at 67 nm and 71 nm absorber thicknesses. The phase measurement error around 180 degree phase shift was 5 degrees (3σ).

Journal ArticleDOI
Seongchul Hong1, Seejun Jeong1, Jae Uk Lee1, Seungmin Lee1, Jinho Ahn1 
TL;DR: In this paper, an attenuated phase shift mask (PSM) was evaluated, and the results showed that "informative" photons from the first order diffraction are essential for mitigating the photon shot noise effect.
Abstract: In extreme ultraviolet lithography, the photon shot noise effect is a main cause of low-quality imaging characteristics such as line edge roughness and critical dimension (CD) nonuniformity. In this study, the stochastic imaging property of an attenuated phase-shift mask (PSM) was evaluated, and the results showed that "informative" photons from the first order diffraction are essential for mitigating the photon shot noise effect. This structure exhibits a reflectivity of ~6% at the absorber stack and a phase shift of 180° at 13.5 nm wavelength. The improved stochastic patterning properties of the PSM were compared with those of a conventional binary intensity mask.

Patent
15 Feb 2013
TL;DR: In this paper, a phase shift mask for resist pattern formation with a design dimension below a resolution limit of an exposure device is proposed, where a transparent substrate and a non-phase shift part neighboring the phase shift part are used.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask using an exposure device for manufacturing a conventional image display unit, capable of highly accurately forming a predetermined resist pattern having a dimension below a resolution limit of the exposure device on a work piece such as a transparent substrate, and a resist pattern formation method employing the phase shift mask.SOLUTION: A phase shift mask for resist pattern formation with a design dimension below a resolution limit of an exposure device includes: a transparent substrate; a phase shift part for applying a predetermined phase difference to exposure light from the exposure device; and a non phase shift part neighboring the phase shift part. At least one of the phase shift part and the non phase shift part has a dimension below a resolution limit of the exposure device. The dimension of the phase shift part is different from that of the non phase shift part. The length of one side of a pattern area including the phase shift part and the non phase shift part on the transparent substrate is 300 mm or larger. A light shielding part with a dimension below the resolution limit of the exposure device does not exist at least within the pattern area.

Proceedings ArticleDOI
TL;DR: Simulations of NILS, MEEF, DoF and process variability (PV) band width across a wide range of patterns are used and the results show that the most significant benefits can be gained by using attnPSM masks in conjunction with source optimization and RTI process.
Abstract: Printing small vias with tight pitches is becoming very challenging and consequently, different techniques are explored to achieve a robust and stable process. These techniques include reverse tone imaging (RTI) process, source optimization, mask transmission (attenuated Phase Shift Masks (attnPSM) versus binary thin OMOG masks), three-dimensional mask effects models, and SRAF printing models. Simulations of NILS, MEEF, DoF and process variability (PV) band width across a wide range of patterns are used to compare these different techniques in addition to the experimental process window. The results show that the most significant benefits can be gained by using attnPSM masks in conjunction with source optimization and RTI process. However, this improvement alone is not enough; every facet of the computational lithography and process must be finely tuned to produce sufficient imaging quality. As technology continues to shrink, Electromagnetic Field (EMF)-induced errors limit the scalability of this process and we will discuss the need for advanced techniques to suppress and correct for them.

Proceedings ArticleDOI
TL;DR: In this paper, attenuated phase shift mask (attPSM) features are used to generate sloped foot profiles in the photoresist rather than simply using sub-resolution features or reducing the width of the clear region.
Abstract: Publisher’s Note: This paper, originally published on 4/12/2013, was replaced with a corrected/revised version on 9/29/2015. If you downloaded the original PDF but are unable to access the revision, please contact SPIE Digital Library Customer Service for assistance. As 193 nm immersion lithography may be required to be extended beyond 20 nm node, multiple patterning lithography will become a necessity in that scenario. We present a cost-effective approach for 22 nm half-pitch double patterning, with extendibility to sub-10 nm half-pitch pitch division. Spacers on sufficiently sloped sidewalls directly transferred from a low-contrast photoresist profile can be removed by anisotropic etching. Alternatively, spacer gaps for defining trenches may be prevented from penetrating to the substrate by the use of sloped sidewalls. These sloped sidewalls are defined by attenuated phase shift mask (attPSM) features which impart phase shifts other than 180 or 0 degrees. Such features can be accommodated in the process flow for fabricating phase shift masks by the definition of one or two extra layers of processing in the mask shop. Aerial image simulations show this photomask design is more effective in generating sloped foot profiles in the photoresist than simply using sub-resolution features or reducing the width of the clear region. Loop trimming and sidewall spacer definition are accomplished in a single photomask. In addition, there is now an extra ability to define random, arbitrary breaks in the spacer-defined pattern, without using an extra exposure for specified cuts. In this way, a single exposure of a low-contrast photoresist around the sensitivity limit using a modified attenuated phase-shift photomask is sufficient to pattern regularly arranged spacer-defined lines at fixed pitch with irregularly arranged breaks, or 'cuts' in the lines.

Journal ArticleDOI
TL;DR: In this paper, an attenuated phase shift mask (PSM) with hole-or space-type patterns is used under a KrF light source scanner, and the original hole or space patterns are changed to island or bar patterns.

Patent
16 Nov 2013
TL;DR: In this paper, a phase shift mask was proposed to form a resist pattern with a design dimensions less than the resolution limit of an exposure apparatus. But the phase shift masks were not suitable for the use of a conventional exposing apparatus for image display.
Abstract: The present invention provides a phase shift mask, which uses a conventional exposing apparatus for producing an image display apparatus and can form with high accuracy the predetermined resist pattern having a dimension less than the resolution limit of the exposing apparatus on the processed material such as a transparent substrate, and a resist pattern forming method using the phase shift mask The object is attained by providing a phase shift mask for forming a resist pattern having a design dimensions of less than resolution limit of an exposure apparatus, the phase shift mask comprises a transparent substrate, a phase shift part for providing the predetermined retardation to an exposing light from the exposing apparatus, and a non-phase shift part adjacent to the phase shift part, wherein at least one of the phase shift part and the non-phase shift part has a dimension less than the resolution limit of the exposing apparatus, a dimension of the phase shift part and the dimension of the non-phase shift part is different, a size of a pattern region containing the phase shift part and the non-phase shift part on the transparent substrate is 300 mm or larger on a side, and the phase shift mask does not comprise a light shielding part having a dimension less than the resolution limit of the exposing apparatus at least in the pattern region

Proceedings ArticleDOI
TL;DR: In this paper, the authors demonstrate the recording of volume phase masks (VPMs) in the bulk of photo-thermo-refractive glass by exposing the glass to UV radiation through binary amplitude masks.
Abstract: We demonstrate the recording of volume phase masks in the bulk of photo-thermo-refractive glass. Recording was produced by exposing the glass to UV radiation through binary amplitude masks. Depending on the profile of the amplitude mask either a binary volume phase mask or a grayscale phase mask may be produced. Volume phase masks have been used to generate Fresnel lenses, convert a Gaussian beam into higher order Hermite-Gauss and Laguerre-Gauss modes, to produce optical vortices, and to create aberration-correc ting optical components. Phase masks have been used for decades for a variety of applications, including improving the depth of field [1-3], manufacture of electronics [4], encryption [5-8], and coronagraphy [9-11]. Conventional fixed phase masks are generally produced by either sculpting the surface of a thin film such as PMMA or by r ecording it in the bulk of a photosensitive material such as DCG or lithium niobate. Active phase masks may also be produced using spatial light modulators. In either case these masks may be used effectively in low power systems as they can be designed to have nearly any phase profile. However, such elements have several drawbacks as well. Absorption in these materials prevents them from being used in high power systems, and thin film and SLM phase masks may also be damaged from mishandling or by placement in high temp erature conditions. In order to have a robust phase mask suitable for use in such systems it is therefore necessary to utilize a low-absorption substrate with the phase mask recorded in the bulk to prevent damage via mishandling. Here we present a method for recording volume phase masks (VPMs) in the bulk of photo-thermo-refractive (PTR) glass. PTR glass is a sodium-potassium-zinc-aluminum-fluorine-bromine-silicate glass doped with cerium, antimony, tin, and silver, with a region of transparency from 350 nm to 2700 nm and a damage threshold of 40 J/cm

Patent
18 Sep 2013
TL;DR: In this paper, a method capable of facilitating the monitoring of a halftone-type phase shift mask is proposed, which includes a step S4 of executing a film exposure treatment by using a mask furnished not only with a light-shielding film formed on a portion of the Halftone film, but also with a first aperture pattern formed on the haloftone film while being segregated from the light shielding film, so as to form, on the resulting exposed film, a first transfer pattern and a second transfer pattern corresponding respectively to the first aperture patterns and the second
Abstract: PROBLEM TO BE SOLVED: To provide a method capable of facilitating the monitoring of a halftone-type phase shift mask.SOLUTION: The provided method includes a step S4 of executing a film exposure treatment by using a mask furnished not only with a halftone film and a light-shielding film formed on a portion of the halftone film but also with a first aperture pattern formed on the halftone film while being segregated from the light-shielding film and a second aperture pattern formed on the halftone film and the light-shielding film so as to form, on the resulting exposed film, a first transfer pattern and a second transfer pattern corresponding respectively to the first aperture pattern and the second aperture pattern and a step S6 of measuring the width of the first transfer pattern and the width of the second transfer pattern and additionally includes a step of judging, by monitoring variation magnitudes deduced from measurement results obtained by subjecting a plurality of semiconductor substrates to the formation step and the measurement step and according with cycle numbers of the exposure treatment, whether or not to permit the exposure treatment using the mask based on the variation magnitudes.