scispace - formally typeset
Search or ask a question
Topic

Phase-shift mask

About: Phase-shift mask is a research topic. Over the lifetime, 2088 publications have been published within this topic receiving 18058 citations.


Papers
More filters
Proceedings ArticleDOI
15 Jul 2002
TL;DR: In this article, two theories are developed to quantify image skew of photomask features caused by aberrations, and applied to the study of enhanced alternating PSMs, where the optimal mask pattern is aberration-function-specific.
Abstract: Two theories are developed to quantify image skew of photomask features caused by aberrations. In one formulation, the extent of image distortion can be described by the image asymmetry, which captures both image shift and sidelobe intensity imbalance. This quantity is equivalent to the shift of the image centroid. In situations where one is more concerned with placement error than centroid shift, the change in the location of the intensity extremum can be expressed as functions of the mask spectrum and the wave aberration. This theory on image shift is applied to the study of enhanced alternating PSMs. Although the optimal mask pattern is aberration-function-specific, mask spectra with gradual variations have lower placement sensitivity in general. These theories are applicable to all mask technologies and patterns.

12 citations

01 Jan 2000
TL;DR: In this paper, the use of phase shift masks can improve CD control and allow the patterning of smaller poly gate features, but it also introduces new error terms for overlay, such as increased sensitivity of image placement to coma-type aberrations, image placement shifts resulting from phase errors, image placements resulting from intensity imbalance between zero and 180 degree shifter regions, and phase shift mask to trim mask overlay issues.
Abstract: While the use of phase shift masks can improve CD control and allow the patterning of smaller poly gate features, it also introduces new error terms for overlay. Four error terms are discussed: increased sensitivity of image placement to coma-type aberrations, image placement shifts resulting from phase errors, image placement shifts resulting from intensity imbalance between zero and 180 degree shifter regions, and phase shift mask to trim mask overlay issues. These overlay issues become increasingly important for lower kl patterning. Likewise, phase defect printability is magnified for lower kl patterning, increasing the requirements for phase shift mask inspection and repair.

12 citations

Patent
08 Oct 1993
TL;DR: In this article, a method of forming a pattern using a phase shift mask which comprises applying at least first and second exposures is presented, where at least one exposure is conducted by using the phase shifting mask and at least the other exposure is performed for compensating the amount of light at a phase shifting boundary of the mask, the pattern having an interpattern distance on a substrate of less than 2.4×λ/NA.
Abstract: A method of forming a pattern using a phase shift mask which comprises applying at least first and second exposures. At least one exposure is conducted by using a phase shifting mask and at least the other exposure is conducted for compensating the amount of light at a phase shifting boundary of the phase shifting mask, the pattern having an inter-pattern distance on a substrate of less than 2.4×λ/NA. The method of the present invention is applicable also to the formation of a pattern to which the existing phase shifting technique can not be applied, as well as to a pattern in which sub-patterns as the phase shifting portions can not be provided, whereby a pattern at a high resolution power can be obtained irrespective of the pattern shape.

12 citations

Proceedings ArticleDOI
05 Oct 2007
TL;DR: In this article, the impact of the mask material on the performance of the 45nm half-pitch (HP) node at NA 1.2 and 1.35 was studied.
Abstract: The lithographic performance of current state-of-the-art resolution enhancement techniques (RET) will become critical at hyper numerical aperture (NA>1) due to mask 3D effects. We have studied the impact of the mask material on the lithographic performance at NA 1.2 and above. The assessment, both by rigorous simulations and experiments, involves the standard mask stacks, Cr binary mask (BIM) and MoSi 6% attenuated phase shift mask (attPSM), as well as alternatives such as thick Cr BIM, Ta/SiO 2 1% and 6% attenuated PSM, and Ta/SiON 1% attenuated PSM. Using the rigorous electro-magnetic field (EMF) and lithographic process simulations (IISB DrLiTHO) the mask structure is optimized taking into account the trade_off with mask error enhancement factor (MEEF). Next, a throughpitch evaluation of the 45nm half-pitch (HP) node at NA1.2-1.35 is carried out examining maximum exposure latitude (EL), depth-of-focus (DOF), best focus shifts, and MEEF behavior for the various mask stacks. For the validation of the simulation methodology a correlation is made between scanner (ASML XT:1700Fi), AIMS (Zeiss AIMS TM 45-193i), and simulation results indicating the importance of the mask quality and mask properties. Based on the lithographic performance and the mask manufacturability we put together a ranking of the commercially available mask stacks for the 45nm HP node at NA 1.2 and 1.35.

11 citations

Proceedings ArticleDOI
22 Feb 2021
TL;DR: In this paper, the authors evaluate the effect of contrast enhancement strategies on a logic metal layer at pitch 28 nm and conclude that attenuated PSM provides the highest ILS, the narrower best focus range, and the largest overlapping process window without any compromise on the illumination efficiency.
Abstract: The purpose of our study is to evaluate the benefit of contrast enhancement strategies on a logic metal layer at pitch 28 nm. We build up on three studies from imec and ASML [1][2][3]. We take as a reference a Negative Tone Development (NTD) Metal Oxide Resist (MOR) process used in combination with a binary TaBN mask absorber, without SRAF, exposed with an X/Y symmetric pupil on a 0.33 NA EUV scanner, the NXE:3400 from ASML [7]. The fading mitigation strategies leverage asymmetrical pupil (monopole), wavefront injection (Z6 aberration) and low-n attenuated Phase Shift Mask (PSM). We find very good agreement between our simulations on design clips, the theoretical expectations and the experimental data shared in the above mentioned papers on building blocks (L/S through pitch and dense tip-to-tip). Overall the three fading correction techniques are efficient to improve the printability of our use case in term of ILS. It also improves the best focus shift of L/S through pitch and between L/S and tip-to-tip. In conclusion, the most promising exposure strategy for the logic metal pitch 28 nm use case is the attenuated PSM. It provides the highest ILS, the narrower best focus range, the largest overlapping process window without any compromise on the illumination efficiency, i.e. using the full NXE:3400 throughput.

11 citations


Network Information
Related Topics (5)
Wafer
118K papers, 1.1M citations
79% related
Silicon
196K papers, 3M citations
74% related
Chemical vapor deposition
69.7K papers, 1.3M citations
73% related
Substrate (electronics)
116.1K papers, 1.3M citations
73% related
Thin film
275.5K papers, 4.5M citations
72% related
Performance
Metrics
No. of papers in the topic in previous years
YearPapers
20219
202017
201913
201824
201730
201632