scispace - formally typeset
Search or ask a question
Topic

Phase-shift mask

About: Phase-shift mask is a research topic. Over the lifetime, 2088 publications have been published within this topic receiving 18058 citations.


Papers
More filters
Proceedings ArticleDOI
21 Jun 2006
TL;DR: In this article, the authors minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations, based on the results, fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner.
Abstract: Alternating Aperture Phase Shift Mask (AAPSM) is one of the most effective approaches to improve the resolution of logic gate structures for ArF lithography of the 65nm half-pitch node and beyond because AAPSM shows good performance due to the high image contrast and the small mask error enhancement factor (MEEF). For AAPSM, the issue of intensity imbalance between pi-space and zero-space is well known. In order to solve this issue, several kinds of AAPSM, such as single trench with undercut, single trench with bias are used in production application. The fabrication of single trench with bias AAPSM requires that the quartz dry etch satisfies many conditions. The etched quartz features must not only show excellent depth uniformity but also good etch depth linearity across a wide range of feature sizes. However, in defocus conditions, the through-pitch image placement error becomes worse even with good quartz etch depth linearity. The reason is that the phase error caused by mask topography is different depending on the pitch. In this work, we minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations. Based on the results, we have fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner. We discuss the feasibility of this method by comparison of through-focus and through-pitch image placement errors between wafer printing, AIMS, and simulation.

11 citations

Patent
05 Jan 2011
TL;DR: The phase shift mask (13P1) as mentioned in this paper is a phase difference of 180 DEG that can produce a high precision exposure pattern in an environment containing more than 40% and less than 90% of nitriding gas and more than 10% of oxidizing gas.
Abstract: The present invention provides a method for manufacturing a phase shift mask, a method for manufacturing a flat panel display, and a phase shift mask, wherein a thin and high precision exposure pattern may be formed by means of the phase shift mask. In a first embodiment of the invention, the phase shift mask (1) includes a phase shift layer (13P1), capable of making any light which wavelength is more than 300nm and less than 500nm to generate a phase difference of 180 DEG. Thereby, when the light of the wavelength range is used for exposing, the phase of the light will be reversed in the phase shift layer to form an area having minimum light intensity, such that the exposure pattern is more clear. In an environment containing more than 40% and less than 90% of nitriding gas and more than 10% and less than 35% of oxidizing gas, the phase shift layer (13P) is formed by sputtering a target made of chrome material.

11 citations

Journal ArticleDOI
TL;DR: In this article, the authors used simulation of electromagnetic scattering and imaging to assess the tendency of nonplanar phase defects to print in optical lithography with alternating phase-shifting masks.
Abstract: Rigorous simulation of electromagnetic scattering and imaging are used to assess the tendency of nonplanar phase defects to print in optical lithography with alternating phase-shifting masks. The ideal model for phase defects, which assumes that the fields are transmitted with unaltered amplitude and a phase shift of 180°, is proven inaccurate through simulation of isolated defects. The brightness and the phase are found to depend strongly not only on their height but on the lateral size as well. Data are also presented on a variety of defect shapes, sizes, heights, positions, and their impact when located near mask features. Linewidth variations due to lines/posts and scratches/holes are typically 30% larger and 50% smaller, respectively, than these predicted from an ideal geometrical mask model.

11 citations

Proceedings ArticleDOI
04 May 2006
TL;DR: In this article, a thickness-optimized Ta/SiO 2 attPSM was fabricated to compare the imaging performance with the standard Ta and MoSi attPSMs with 6% transmission and 180 o phase shift.
Abstract: Most IC manufacturers are considering MoSi to be the material of conventional 6% attenuating phase-shifting masks (attPSM) in hyper-NA lithography (50 nm half pitch node and smaller) However, simulation results show that Cr-based binary-intensity mask (BIM) outperforms the attPSM at dense lines and spaces (LS) patterns in hyper-NA lithography A reason lies in the transmitted polarization state through the mask The attPSM is found to be a transverse-magnetic polarizer for hyper-NA imaging, while the BIM acts as a transverse-electric polarizer, which is beneficial for imaging Using a metal-based absorber of the attPSM has potential for improving the degree of polarization of transmitted light In our previous work absorber thickness of bi-layer attPSM, ie Ta/SiO 2 , was optimized through three-dimensional electromagnetic field (3D-EMF) simulations for better imaging performance than the MoSi attPSM In this study, the thickness-optimized Ta/SiO 2 attPSM was fabricated to compare the imaging performance with the standard Ta/SiO 2 and MoSi attPSMs with 6% transmission and 180 o phase shift The thickness-optimized Ta/SiO 2 attPSM has 1% transmission due to 50% thicker Ta than the standard, while the 180 o phase shift is controlled by SiO 2 thickness The exposure latitude of 45 nm LS delineated by using an NA120 full-field scanner with xy-polarized cquadrupole was 157%, 134%, and 101% with depth of focus of 200 nm for the optimized Ta/SiO 2 , the standard Ta/SiO 2 , and MoSi, respectively Line width roughness of the Ta/SiO 2 attPSMs was approximately 55 nm for the 45 nm LS, which was comparable to MoSi Mask-error-enhancement factor (MEEF) of the 45 nm LS was 44, 49, and 38 for the optimized Ta/SiO 2 , the standard Ta/SiO 2 , and MoSi, though the simulation expected MEEF values of 41, 55, and 63, respectively Because the transmission and the phase shift measured by normal incidence are not linked directly with the imaging performance in the hyper-NA lithography with off-axis illumination, the mask materials and structures need to be optimized by using 3D-EMF simulators for the better imaging quality

11 citations

Patent
26 Feb 2001
TL;DR: In this paper, a phase shift pattern is formed as a first light shielding film on a transparent substrate and the pattern is oxidized by oxygen plasma ashing treatment, by which the Al 2 O 3 patterns are formed.
Abstract: PROBLEM TO BE SOLVED: To form phase shift patterns having good transparency and phase differences with respect to a light source of a short wavelength with good accuracy. SOLUTION: An Al film 11 is formed as a first light shielding film on a transparent substrate 1 and the Al patterns 12 are formed by dry etching this Al film 11. The Al patterns 12 are oxidized by oxygen plasma ashing treatment, by which the Al 2 O 3 patterns 13 are formed. COPYRIGHT: (C)2002,JPO

11 citations


Network Information
Related Topics (5)
Wafer
118K papers, 1.1M citations
79% related
Silicon
196K papers, 3M citations
74% related
Chemical vapor deposition
69.7K papers, 1.3M citations
73% related
Substrate (electronics)
116.1K papers, 1.3M citations
73% related
Thin film
275.5K papers, 4.5M citations
72% related
Performance
Metrics
No. of papers in the topic in previous years
YearPapers
20219
202017
201913
201824
201730
201632