scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 1982"


Patent
01 Jul 1982
TL;DR: In this article, a complex material from which a light shading material can be deposited is applied over the photomask, and a white (blank) defect region is irradiated with a continuous wave laser light beam projected in a slit-like light image to convert the complex material into the shading material.
Abstract: A method and apparatus for repairing defect portions of a photomask. A complex material from which a light shading material can be deposited is applied over the photomask. A white (blank) defect region is irradiated with a continuous wave laser light beam projected in a slit-like light image to thereby convert the complex material into the shading material. After washing, a half-deposited portion formed in a peripheral portion of the light shading region is further deposited by a post-baking process. Those portions of the light shading film which depart from the desired mask pattern are removed together with black (solid) defect portion originally present in the photomask through irradiation with a pulse laser light beam. The pulse laser is constituted by a Dye-laser, while the continuous wave laser is constituted by an Ar-laser. A specific half-mirror which transmits therethrough Ar-laser light while reflecting Dye-laser light is displaceable provided. The white and the black defects are selectively centered on a same optical axis of an optical projection system including a slit and a condenser lens, whereby the white and the black defect portions are each removed through irradiation with the associated laser light projected thereto in a slit-like light image through the same optical projection system.

49 citations


Patent
Mikio Hongo1, Masao Mitani1, Tateoki Miyauchi1, Masaaki Okunaka1, Mizukoshi Katsuro1 
12 Jan 1982
TL;DR: In this article, a method and apparatus for correcting transparent defects on a photomask is described, in which a metal-organic complex solution is applied to a transparent defect portion and its periphery on the photOMask.
Abstract: A method and apparatus for correcting transparent defects on a photomask are disclosed. A metal-organic complex solution is applied to a transparent defect portion and its periphery on the photomask. The transparent defect portion is then exposed to a visible ray or ultraviolet ray to deposit a metal, a metal oxide or a composition thereof, while the light transmission quantity through the transparent defect portion is measured. After the measurement falls below a predetermined level relative to the quantity of the transmitted light at the start of exposure, the exposure is terminated to thereby complete the correction of the transparent defects.

37 citations


Patent
Bernt Narken1, Henry Carl Schick1
02 Dec 1982
TL;DR: In this article, an integrated circuit photomask consisting of a transparent dielectric substrate, such as glass and quartz based substrates, and a conductive surface adjacent region, is described.
Abstract: The invention relstes to an integrated circuit photomask. The photomask it formed of a transparent dielectric substrate (1), such as glass and quartz based substrates, and has a conductive surface adjacent region (3), which is patterned with sequential overcoatings of a composite chrome oxide layer (5) and a chrome film (6). The mask comprises a combination of varied reflectivities to provide proper densities for the opaque areas of the mask.

31 citations


Patent
Hara Yasuhiko1, Nobuyuki Akiyama1, Satoru Fushimi1, Yoshimasa Oshima1, Aoki Nobuhiko1 
12 Jul 1982
TL;DR: In this paper, a pattern detection system for inspecting defects in fine or minute patterns such as photomask patterns at a fast speed is described, which consists of an illuminator, a device for moving objects with the patterns to be inspected with being illuminated by the illuminators, an optical system for imaging the objects, a scanner for scanning the objects in a direction intersected at a given angle with respect to direction of the objects moved by the moving device and arrays of photosensors arranged linearly in the direction perpendicular to that of images on the objects scanned by the
Abstract: A pattern detection system for inspecting defects in fine or minute patterns such as photomask patterns at a fast speed is disclosed. The system comprises an illuminator, a device for moving objects with the patterns to be inspected with being illuminated by the illuminator, an optical system for imaging the objects, a scanner for scanning the objects in a direction intersected at a given angle with respect to direction of the objects moved by the moving device and arrays of photosensors arranged linearly in a direction perpendicular to that of images on the objects scanned by the scanner, on the surface of which the images are formed by the optical system and for producing respective outputs parallelly on the time basis.

31 citations


Patent
03 Sep 1982
TL;DR: A CMOS process utilizes preferential oxidation of arsenic-doped regions and reduced diffusivity of boron in arsenic doped regions to eliminate photomask steps and to form self-aligned enhanced p+ and n+ contacts as mentioned in this paper.
Abstract: A CMOS process utilizes preferential oxidation of arsenic-doped regions and the reduced diffusivity of boron in arsenic-doped regions to eliminate photomask steps and to form self-aligned enhanced p+ and n+ contacts.

28 citations


Patent
17 Sep 1982
TL;DR: In this paper, a photomask is used to form patterns on a resist-coated semiconductor wafer, which is comprised of a transparent baseplate having a thin metallic pattern, a transparent, planar coverplate in intimate contact with the patterned baseplate and an index matching fluid interposed therebetween.
Abstract: A photomask (30) used to form patterns on a resist coated semiconductor wafer is comprised of a transparent baseplate (31) having a thin metallic pattern (32) thereon; a transparent, planar coverplate (33) in intimate contact with the patterned baseplate (31) and an index matching fluid (34) interposed therebetween.

23 citations


Patent
17 Jun 1982
TL;DR: In this paper, the photolithography process is used to obtain a distinct pattern of a semiconductor device by a method wherein after the grooves for evacuation of gas are formed on the scribing region of semiconductor substrate, the photochemical process is performed.
Abstract: PURPOSE:To obtain a distinct pattern of a semiconductor device by a method wherein after grooves for evacuation of gas are formed on the scribing region of a semiconductor substrate, the photolithography process is performed CONSTITUTION:After a photo resist film 2' is formed on the semiconductor substrate 1, baking treatment is performed thereto, and after a photo mask 5 for formation of scribe lines is arranged thereon, exposure and development treatment are performed to form a pattern Then etching treatment is performed to form the grooves 6 Then by performing the photolithography process, the desired pattern is formed Accordingly because remaining gas between the mask 5 and the film 2' is evacuated through the grooves 6, adhesion between the film 2 and the photo mask 3 is unified, and definition of the pattern to be obtained by later exposure and development treatment is enhanced

20 citations


Patent
27 May 1982
TL;DR: An automatic photomask alignment system for projection printing includes a monochromatic light source such as a laser, a series of diffraction patterns which are located on a semiconductor wafer in locations where alignments are to be made, and a projection lens system as discussed by the authors.
Abstract: An automatic photomask alignment system for projection printing includes a monochromatic light source, such as a laser, a series of diffraction patterns which are located on a semiconductor wafer in locations where alignments are to be made, at least one key which is located on a photomask with which the wafer is to be aligned, and a projection lens system. A light beam from the monochromatic light source is directed about the key on the photomask and through the projection lens system onto one of the diffraction patterns on the substrate to provide a pattern of light spots whose intensities at various locations are determined by the relative alignment of the key and the diffraction grating. A step and repeat mechanism is used to move the semiconductor wafer relative to the image of the photomask pattern which is projected onto the wafer while a feedback arrangement, which employs photocells and servo motors for aligning the locations where devices are to be formed on the substrate relative to the photomask, provides the alignment of the projected image with the proper locations for their formation.

18 citations


Patent
29 Jun 1982
TL;DR: In this article, a multilayer light-shielding film consisting of a thin Cr film layer and an upper layer containing Cr oxide and nitride on a transparent substrate, and forming a pattern on the multi-layer.
Abstract: PURPOSE:To obtain a photomask for fabricating LSI having high precision and sperior durability, by forming a multilayer light-shielding film consisting of a thin Cr film layer and an upper layer containing Cr oxide and nitride on a transparent substrate, and forming a pattern on the multilayer. CONSTITUTION:A 50nm thick thin Cr layer 7 is formed on a transparent substrate 6 made of a soda lime glass or quartz glass or the like, and a mixed composition film in the range of CrXNYOZ, X=1, Y=0.1-1.4, Z=0.8-1.4 to form a photomask substrate, thus permitting both the film layers 7, 8 of this mask substrate to have the same etching speed in forming a pattern with an etching solution used in photolithography, electron beam lithography, etc., so to prevent defects of peeling of pent roofs formed from the edges of the layer 8, or the like, and to be good in resistance to chemicals, and a photomask high in precision and superior in durability to be obtained.

14 citations


Patent
20 May 1982
TL;DR: In this article, the defect of the pattern may be similarly corrected with the lift-off resist, and the work can be simple and fine repair can be completed once by positioning a pattern to be corrected while identifying the pattern with one electron microscope.
Abstract: PURPOSE:To complete fine correction once by positioning a pattern to be corrected while identifying the pattern with one electron microscope and switching the beam for exposure and exposing it. CONSTITUTION:Unnecessary pattern position is confirmed in advance for a photomask, a resist mask is coated, and is mounted on a base 7 in a sample chamber 6. The microscope is then evaculated, and an electron beam addcelerating voltage is set for observation by an acceleration controller 5. The photomask is confirmed through a window 4, the base 7 is moved to position the part to be corrected. Slit plates 2, 3 are controlled to form a rectangular beam, and the controller 5 is switched for exposure. Then, it is developed to remove unnecessary pattern. The defect of the pattern may be similarly corrected with the lift-off resist. According to this structure, the work can be simple and fine repair can be completed once.

13 citations


Proceedings ArticleDOI
R. A. Simpson1, D. E. Davis1
13 Sep 1982
TL;DR: In this paper, the authors describe techniques to detect submicron pattern defects on optical photomasks with an enhanced direct-write, electron-beam lithographic tool, which is used to fabricate semiconductor devices and masks.
Abstract: This paper describes techniques to detect submicron pattern defects on optical photomasks with an enhanced direct-write, electron-beam lithographic tool. EL-3 is a third generation, shaped spot, electron-beam lithography tool developed by IBM to fabricate semiconductor devices and masks. This tool is being upgraded to provide 100% inspection of optical photomasks for submicron pattern defects, which are subsequently repaired. Fixed-size overlapped spots are stepped over the mask patterns while a signal derived from the back-scattered electrons is monitored to detect pattern defects. Inspection does not require pattern recognition because the inspection scan patterns are derived from the original design data. The inspection spot is square and larger than the minimum defect to be detected, to improve throughput. A new registration technique provides the beam-to-pattern overlay required to locate submicron defects. The 'guard banding" of inspection shapes prevents mask and system tolerances from producing false alarms that would occur should the spots be mispositioned such that they only partially covered a shape being inspected. A rescanning technique eliminates noise-related false alarms and significantly improves throughput. Data is accumulated during inspection and processed offline, as required for defect repair. EL-3 will detect 0.5 um pattern defects at throughputs compatible with mask manufacturing.© (1982) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
23 Jun 1982
TL;DR: In this article, a measure precision evaluating pattern consisting of a plurality of pairs of pattern elements opposite to each other with a predetermined distance interposed therebetween, and a second measure precision evaluation pattern which has a pluralityof pairs of patterns overlapped each other in a predetermined measure and arranged opposite to the other to form a constricted portion is presented.
Abstract: A method for evaluating the measure precision of patterns such as photoresist and etched ones, and a photomask therefor. The photomask according to the present invention has a mask pattern corresponding to a pattern desired to be formed in a substrate, and also has a measure precision evaluating pattern formed at an area different from where the mask pattern is present. The measure precision evaluating pattern comprises a first measure precision evaluating pattern which has a plurality of pairs of pattern elements opposite to each other with a predetermined distance interposed therebetween, and a second measure precision evaluating pattern which has a plurality of pairs of pattern elements overlapped each other in a predetermined measure and arranged opposite to each other to form a constricted portion. The distance or the measure of overlapped area between paired pattern elements is varied with every pair of pattern elements. This mask pattern is transcribed onto the substrate and a pair of pattern elements contacting each other without overlapping is found from the transcribed pattern. Dimensional change is found from the distance or the measure of overlapped area between the pair of photomask pattern elements corresponding to that pair of pattern elements which has been found from the transcribed pattern.

Patent
George H. Bowser1
05 Mar 1982
TL;DR: In this paper, a flexible polymeric hinge is used in combination with a flexible, non-extensible binding strip which prevents lateral movement of the glass plates thereby providing for repeated use of the phototool without the use of registration systems such as alignment pin assemblies.
Abstract: Hinged glass photomask assemblies for photolithographic processes are disclosed wherein a flexible polymeric hinge is used in combination with a flexible, non-extensible binding strip which prevents lateral movement of the glass plates thereby providing for repeated use of the phototool without the use of registration systems such as alignment pin assemblies.

Patent
11 Jan 1982
TL;DR: In this paper, a system for encapsulating semiconductor chips, such as chips carrying chemical sensitive field effect devices, includes lamination of a sheet of dry film photoresist material onto the surface of the chip, placement of a photomask over the chip in a predetermined alignment, and then exposure of the photomasks to light so that light passes through certain light-transmitting portions of the mask onto the chip.
Abstract: A system for encapsulating semiconductor chips, such as chips carrying chemical sensitive field-effect devices, includes lamination of a sheet of dry film photoresist material onto the surface of the chip, placement of a photomask over the chip in a predetermined alignment, and then exposure of the photomask to light so that light passes through certain light-transmitting portions of the mask onto the chip. The photoresist material is then developed to remove the material from over the gate regions of each of the field-effect devices to define windows in the material through which the gate regions are exposed. Chemical sensitive membrane systems may then be applied to the windows of the devices to cover the gate regions.

01 Oct 1982
TL;DR: In this paper, the authors proposed a method to solve the problem of "uniformity" in the literature.and.and, and, respectively, the authors' work.
Abstract: and

Patent
07 Apr 1982
TL;DR: In this article, the 1st and 2nd reticle patterns have exactly the same pattern, so the defective of the photomask 20 is detected by optically comparing the A chip 1 and B chip 2 arrayed in the same reticle pattern.
Abstract: PURPOSE:To improve the quality of a photomask by detecting a defective due to a reticle pattern accurately by forming the photomask by contracting and arraying the 1st and 2nd reticles, havng the same pattern, on a har plate coated with photoresist CONSTITUTION:A photomask 20 is exposed to an A chip 1 by using the 1st reticle pattern and then exposed to a B chip 2 by using the 2nd reticle pattern The 1st and 2nd reticle patterns have exactly the same pattern, so the defective of the photomask 20 is detected by optically comparing the A chip 1 and B chip 2 arrayed in the 1st and 2nd reticle patterns

Proceedings ArticleDOI
30 Jun 1982
TL;DR: In this paper, the processes used to manufacture boron-nitride X-ray masks and some of the results using those masks are described and some results of those masks can be found.
Abstract: X-ray lithography is composed of three elements, the alignment machine with an X-ray source, X-ray photomasks, and X-ray resist. This paper describes the processes used to manufacture boron-nitride X-ray masks and some of the results using those masks.

Patent
13 Jan 1982
TL;DR: In this article, a photomask consisting of the light shielding part and the silicon dioxide film is used to reduce diffracted light at the end of a light shielded part and slantly incident light.
Abstract: PURPOSE:To reduce diffracted light at the end of a light shielded part and slantly incident light and to obtain a photomask capable of forming micropatterns faithful to the mask, by providing a transparent flat relief adjaeant to a light shielding part. CONSTITUTION:A light shielding film 22 consisting of chromium or its oxide, or the like is uniformly formed on a glass substrate 21, and a photosensitive resin pattern 23 is formed on this film 22 by using the ultraviolet lithography. At that time, the end part 23' of the pattern 23 is caused to have 65-90 deg. end inclination angle to the substrate 21. The film 22 is etched using the pattern 23 as a mask to form the pattern of the light shielding part 22', and then, the whole face is provided with a silicon dioxide film 24. After only the silicon dioxide film 24' attached to the end 23' of the pattern 23 has been selectively removed using a hydrofluoric acid buffer solution, the resin pattern 23 is removed together with the film 24 on this pattern 23, thus permitting a photomask 25 consisting of the light shielding part 22' and the silicon dioxide film 24'' (a transparent flat relief) adjacent to this to be obtained.

Patent
Richard R. Tongel1
29 Mar 1982
TL;DR: An alignment system for simple, efficient, repeatable and precise registration of a pair of plates in a photomask assembly for use in photolithographic imaging processes is disclosed in this paper.
Abstract: An alignment system for providing simple, efficient, repeatable and precise registration of a pair of plates in a photomask assembly for use in photolithographic imaging processes is disclosed, wherein registration blocks are attached to the edges of one plate and block stops are attached to the other plate in appropriate positions to engage said registration blocks when the plates are brought into parallel facing relationship in contact with a substrate to be processed.

Patent
09 Feb 1982
TL;DR: In this article, a method was proposed to prevent a defect in a mask pattern without permitting a photo-sensitive resin to remain on the outside edge of a wafer with a certain width by a method wherein the wafer coated with a positive type photosensitive resin and a photomask on which a mask region smaller than the wafers has been formed are placed one above another and exposed to rays.
Abstract: PURPOSE:To prevent a defect in a mask pattern without permitting a photosensitive resin to remain on the outside edge of a wafer with a certain width by a method wherein the wafer coated with a positive type photosensitive resin and a photomask on which a mask pattern region smaller than the wafer has been formed are placed one above another and exposed to rays. CONSTITUTION:The surfaces of a wafer 31 is coated with a positive type photoresist 32. A mask pattern region 35 smaller than the main surface of the wafer 31 but similar to the figure of the latter is formed on a photomask 33. The photomask 33 and the wafer 31 are set against each other, before being exposed to ultraviolet rays 34. The outside edge of the wafer 31 in several mm. width is exposed to the rays through a transparent member 36 of the photomask 33. By so doing, when the wafer 31 is put into grooves 42 of a cassete 41, the photoresist 32 on the wafer does not make contact with the cassette, thus preventing the occcurrence of defects in the pattern such as cracks and exfoliation.

Proceedings ArticleDOI
A. Rangappan1, Chuck Kao1
13 Sep 1982
TL;DR: In this article, the authors classified soft and hard mask defects into two catagories, i.e., soft mask defects and hard and random process defects, and analyzed the individual contributions of hard and soft mask defect density to the overall device yield.
Abstract: Photomask limited yields in LSI and VLSI processes are examined in this paper. Mask defects are classified into two catagories i.e., soft and hard mask defects. Theoretical modelling indicates a substantial yield improvement with pellicle protected masks. In 1:1 projection technology soft mask defects are the predominent cause of mask limited yield. Use of pellicles eliminates the effect of soft defects up to 100 microns in size, does not cause a degradation of image quality or dimensional control, prolongs mask life, and saves considerable labour and cost in maintaining high quality masks. Pellicle mounting, inspection, and handling techniques used are described. Very large die with 3 micron, NMOS, Si-gate technology are used to determine the actual yield improvement. Lots were processed using identical sets of masks of which one set was pellicle protected. Defect density at each process step and final probe yield are statistically analysed to show the individual contributions of hard mask defects, soft mask defects, and random process defects to the overall device yield. Actual yield increase data is presented. This pellicle technology is directly applicable to 10:1 stepping exposure systems where high soft mask defect density could be a more severe problem than in 1:1 projection systems.

Patent
02 Jul 1982
TL;DR: In this article, a method and apparatus for repairing defect portions of a photomask was proposed, in which a complex material from which a light shading material can be applied over the photomasks was applied, and a white (blank) defect region was irradiated with a continuous wave laser light beam projected in a slit-like light image to convert the complex material into the shading material.
Abstract: A method and apparatus for repairing defect portions of a photomask. A complex material (70) from which a light shading material can be deposited is applied over the photomask (5). A white (blank) defect region (7) is irradiated with a continuous wave laser light beam projected in a slit-like light image to thereby convert the complex material into the shading material. After washing, a half-deposited portion (73) formed in a peripheral portion of the light shading region is further deposited by a post-baking process. Those portions of the light shading film which depart from the desired mask pattern are removed together with black (solid) defect portion (6) originally present in the photomask through irradiation with a pulse laser light beam (47). The pulse laser is constituted by a Dye-laser, while the continuous wave laser is constituted by an Ar-laser. A specific half-mirror (39) which transmits therethrough Ar-laser light while reflecting Dye-laser light is displaceably provided. The white and the black defects are selectively centered on a same optical axis of an optical projection system including a slit (42) and a condenser lens (43), whereby the white and the black defect portions are each removed through irradiation with the associated laser light projected thereto in a slit-like light image through the same optical projection system.

Proceedings ArticleDOI
13 Sep 1982
TL;DR: In this paper, the authors describe some of the technical modifications and enhancements which augment the basic photomask inspection capability of a die-comparison system by adding the capability to inspect a single-die reticle against the data base which generated it.
Abstract: Automatic photomask inspection systems have been commercially available for a number of years. KLA Instruments has manufactured systems which utilize die-comparison to detect photomask defects. This paper describes some of the technical modifications and enhancements which augment the basic photomask inspection capability of a die-comparison system by adding the capability to inspect a single-die reticle against the data base which generated it.© (1982) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
19 Jan 1982
TL;DR: In this paper, the authors proposed to enable the formation of an extremely small resist pattern by interposing and exposing a fluidic substance transparent for ultraviolet or far ultraviolet ray between a resist film and a photomask in the step of adhering and exposing the resist film.
Abstract: PURPOSE:To enable the formation of an extremely small resist pattern by interposing and exposing a fluidic substance transparent for ultraviolet or far ultraviolet ray between a resist film and a photomask in the step of adhering and exposing the resist film. CONSTITUTION:Water 25 is, for example, adhered as a fluid substance to the surface of a photomask 14 formed with light shielding pattern 13, and the photomask 14 is intimately contacted with a resist film 12 coated on a semiconductor wafer 11. After the film 12 is then exposed, for example, by ultraviolet rays, it is developed, and a resist pattern is formed. The fluid substance to be interposed between the film 12 and the photomask 14 is transparent for the exposing light and has higher than 1.3 of refractive index. Thus, it can improve the decrease in the yield of the small pattern caused by the improper contact, and can form an extremely small resist pattern.

Patent
Albert S. Bergendahl1
10 Nov 1982
TL;DR: In this article, the authors describe a method for electrically evaluating the overlay error of a photolithographic tool by measuring the relative resistance of the lines with respect to one another and determining the alignment of the tool defined pattern with regard to the reference mark.
Abstract: This describes a method for electrically evaluating the overlay error of a photolithographic tool. In this process a reusable substrate (10) bearing a fixed reference mark (15) has a photolithographic tool defined metal liftoff pattern (17) formed thereon to provide a pair of conductive lines (20a, 20b) by measuring the relative resistance of the lines with respect to one another the alignment of the tool defined pattern with regard to the reference mark (15) may be determined and thus the overlay error of the tool established.

Patent
Kenichi Kobayashi1
28 May 1982
TL;DR: In this article, a photomask for use in the manufacture of a semiconductor device comprises a pattern (P1, P2) to be tested and for using in forming the semiconductor devices and an additional synchronisation pattern (S1 to S8).
Abstract: A photomask (1) for use in the manufacture of a semiconductor device comprises a pattern (P1, P2) to be tested and for use in forming the semiconductor device and an additional synchronisation pattern (S1 to S8). The synchronisation pattern is used to obtain a synchronisation pulse (E1, Ez, Es, E6) during scanning of the photomask (1) for synchronising a scanning signal (S9) obtained from the pattern (P1, P2) to be tested with a reference signal (S10) based on reference pattern data stored on a magnetic tape (7).

Proceedings ArticleDOI
B. B. Triplett1, S. Jones1
30 Jun 1982
TL;DR: In this article, the authors used the trilevel resist scheme on one megabit bubble memories with the commercially available resist Sel-N, Type A (exposure time is typically 2 minutes with the Perkin-Elmer 10kW tungsten x-ray exposure system).
Abstract: Recent advances in x-ray lithography show that accurate, low defect replication of submicron features is becoming a reality. However, before x-ray lithography can be implemented in production several difficult issues must be resolved. In particular, x-ray masks must be fabricated on thin membranes with low defect density and high dimensional stability. The Intel Magnetics one megabit bubble memory is an ideal initial evaluation device because of the high resolution required (1.2 μm minimum feature size) together with its defect and alignment tolerance. Data is presented on one megabit bubble memories fabricated with the trilevel resist scheme using the commercially available resist Sel-N, Type A (exposure time is typically 2 minutes with the Perkin-Elmer 10kW tungsten x-ray exposure system). Distortion and defect data is presented showing our learning experience in fabricating x-ray masks with MEBES. Masks with sufficient stability to start LSI silicon device evaluation have been available for more than a year, masks with sufficiently low defect density to start evaluation of such devices are projected to be available in 1982. Radiation sensitive LSI devices have been exposed to lithographic levels of x-ray flux while undergoing otherwise conventional fabrication. Test data showing no performance degradation in these devices is reviewed.© (1982) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
07 Jul 1982
TL;DR: In this paper, a light-shielding film of chromium or the like, an electron beam resist film, such as polyglycidyl methacrylate, and a resin layer 4 such as PVA using a solvent different from that of said resist film 3, are formed on a mask substrate.
Abstract: PURPOSE:To prevent defects of a pattern due to dust in preparation of a photomask by exposure to electron beams, by coating a resin film requiring a solvent different from a solvent of a resist film on the resist film. CONSTITUTION:A light-shielding film 2 of chromium or the like, an electron beam resist film 3, such as polyglycidyl methacrylate, and a resin layer 4 such as PVA using a solvent different from that of said resist film 3, are formed on a mask substrate 1. This resist film 3 is exposed to electron beams through the resin layer 4 in vacuum, cleared of the resin film 4 in normal pressure, and the resist film is developed, thus permitting dust introduced and attached dust upon restoring the pressure to the normal to be removed in a resin film 4 removal step, and a photomask free from defects to be obtained.

Proceedings ArticleDOI
15 Oct 1982
TL;DR: In this paper, the suitability of using a calibration curve based on an AR-chromium optical linewidth-measurement standard (SRM 474) from the National Bureau of Standards (NBS) to correct Linewidth measurements on other types of photomasks is discussed.
Abstract: Both antireflective (AR)-chromium and bright-chromium photomasks are currently used in the production of integrated circuits. Differences in the optical transmittance and reflectance of these photomasks can significantly change the line-image threshold required for accurate edge detection in optical microscope linewidth measurements. The suitability of using a calibration curve based on an AR-chromium optical linewidth-measurement standard (SRM 474) from the National Bureau of Standards (NBS) to correct linewidth measurements on other types of photomasks is discussed. Linewidths on each of three chromium photomasks of different chromium thicknesses were measured on four different types of optical microscope linewidth measurement systems. These measurements were corrected using an SRM 474 and compared with measurements made on the NBS optical linewidth calibration system. For the two bright-chromium specimens with low transmittance, the residual differences between the corrected values and the NBS values as measured on the NBS calibration system are generally less than ±0.05 μm for three of the measurement systems. For the see-through AR-chromium photomask with a higher transmittance, the calibration curve does not correct all systematic errors greater than ±0.05 μm. These results support theoretical studies showing that the degree of correction for systematic linewidth errors varies with the transmittance of the chromium photomask being measured and with the measurement system.

Patent
15 Mar 1982
TL;DR: In this article, a pattern for a photomask using photoresist in an inert atmosphere is presented. But the pattern is constructed in an automatic carry-in and out device.
Abstract: PURPOSE:To obtain a photomask of good accuracy by constructing patterns for a photomask using photoresist in an inert atmosphere. CONSTITUTION:After the inside of a sealed vessel 10 is evacuated to a vacuum through a vacuum exhausting valve 11, gaseous N2 is sucked through an N2 purging valve 12, whereby an inert atmosphere is created therein. The cassette 7 on the supply side of an automatic carry-in and out device, a photomask 4 using the emulsion in this cassette 7, a passage 9 for the photomask 4, a movable stage 6, the photomask 4 on the stage 6, a cassette 8 on the receiving side, and the photomask 4 in this cassette 8 exist in the inert atmosphere, and therefore, the construction of patterns is accomplished in the inert atmosphere. Since the working atmosphere is an inert atmosphere, the reaction by which the sensitivity specks produced in exposure parts are changed to silver halide is suppressed, and the degradation in dimensional accuracy owing to insufficient density after development is obviated.