scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 1988"


Patent
21 Nov 1988
TL;DR: In this paper, a method for obtaining precise centering by scanning the slits with the beams, sampling light transmitted through the transparent slits, and fitting the samples to a parabola by the use of a computer is described.
Abstract: Photomasks (11, 12) are aligned on opposite sides of a wafer by directing light beams through zone plates (13 A-C) in one photomask and through aligned transparent slits (14 A-C) on the other photomask. Simulantaneous detection of the beams by photodetectors (18 A-C) indicates alignment. A method for obtaining precise centering by scanning the slits with the beams, sampling light transmitted through the slits, and fitting the samples to a parabola by the use of a computer (27) is also described.

35 citations


Patent
23 Sep 1988
TL;DR: In this paper, a system for controlling the relation in position between a photomask and a wafer for use in manufacturing apparatus of a highly integrated circuit such as large scale integration (LSI).
Abstract: A system for controlling the relation in position between a photomask and a wafer for use in manufacturing apparatus of a highly integrated circuit such as large scale integration (LSI). The position control system includes a coherent light source for generating two light beams which are different in frequency and polarizing direction from each other. The light beams from the coherent light source is introduced into a first diffraction grating and the diffracted light from the first diffraction grating selectively pass through a telecentric lens system and are led to second and third diffraction gratings respectively disposed on the photomask and the wafer. Light beat signals are obtained in correspondance with the diffracted light from the second and third diffraction gratings and the position relation between the photomask and wafer is controlled on the basis of the phase difference between the obtained light beat signals which corresponds to the position difference between the photomask and the wafer.

25 citations


Patent
18 Nov 1988
TL;DR: In this article, a high contrast developing process is described for use after pre-exposure to UV-visible radiation to produce increased sensitivity during lithographic processing of positive resist layers.
Abstract: A high contrast developing process is described for use after pre-exposure to UV-visible radiation to produce increased sensitivity during lithographic processing of positive resist layers. Compared to samples which have not been subjected to the methods of this invention, sensitivity increases of a factor of 2-4 are to be expected. An additional benefit of low film loss from unexposed resist is obtained. The system disclosed is applicable to lithographic exposures utilizing electrons, photon (e.g. UV-visible, x-rays, etc.) and atomic or molecular charged particles. Specifically, as a result of the increased sensitivity, higher throughput during lithographic processing for the fabrication of photomasks and semiconductor devices is realized.

20 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used focused ion beam (FIB) technology for photomask defect repair using a carbon film for clear defect repair, which contained 22% gallium, which is an element of the ion beam.
Abstract: Practical results of photomask defect repair using focused ion beam (FIB) technology are presented. From Auger electron analysis, the deposited carbon film for clear defect repair contains 22% gallium, which is an element of the ion beam. Substrate damage produced by ion beam irradiation can be removed incorporating CHF3+O2 reactive ion etching. Printing results using a 5:1 stepper show that the FIB technology can be applied to photomask production.

16 citations


01 Jan 1988
TL;DR: In this article, three-dimensional micrometer-scale structures can be prepared from an acid hardening photoresist by the use of a special photomask, which can be tailored to specific applications.
Abstract: Three-dimensional micrometer-scale structures can be prepared from an acid hardening photoresist by the use of a special photomask. Positive-mode structures have surface relief features while the negative mode produces tunnels, chambers, and cantilever beams. The resist chemistry and process are versatile and can be tailored to specific applications. Exploratory work in preparing microstructures is described and possible applications are proposed. >

15 citations


Patent
05 Dec 1988
TL;DR: In this paper, a method of and a photomask for manufacturing an optical memory element is described, which includes the steps of: subjecting a positive type photoresist (20) coated on a glass substrate (19) to exposure by using the photomasks capable of irradiating light onto a portion of the photoresists (20), other than the remaining portion for forming pits (21) of the glass substrate such that the portion was solubilized against developing solution.
Abstract: A method of and a photomask (15) for manufacturing an optical memory element. The manufacturing method in­cludes the steps of: subjecting a positive type photoresist (20) coated on a glass substrate (19) to exposure by using the photomask (15) capable of irradiating light onto a portion of the photoresist (20) other than the remaining portion for forming pits (21) of the glass substrate (19) such that the portion of the photoresist (20) is solubilized against developing solution; developing the photoresist (20) by using the developing solution so as to remove the portion of the photoresist (20); and etching the glass substrate (19) so as to directly form, as convex portions, the pits (21) on a surface of the glass substrate (19).

12 citations


Patent
Jin Mizuguchi1
08 Aug 1988
TL;DR: In this paper, the use of a thermal transfer printer and an ink ribbon containing a pigment or dye that absorbs light in the range from 350 to 450 nm for the direct production of a photomask from an electronically coded sources of information on a metal plate (PS plate) was described.
Abstract: The invention relates to the use of a thermal transfer printer and of an ink ribbon containing a pigment or dye that absorbs light in the range from 350 to 450 nm for the direct production of a photomask from an electronically coded sources of information on a metal plate (PS plate) coated with a positively working photopolymer which is sensitive to light in the range from 350 to 450 nm, and, as surface layer, with a polymer which is soluble in alkaline solution and is permeable to light in the range from 350 to 450 nm, such that the image produced by the thermal transfer printer on the PS plate is used direct as photomask.

11 citations


Patent
09 Sep 1988
TL;DR: In this paper, a substrate is linearly irradiated with visible laser beams emitted from a semiconductor laser and the whole surface of the substrate is irradiated by shifting an optical system composed of an optical unit, an iris diaphragm mechanism and a rotary polygon mirror.
Abstract: PURPOSE: To eliminate any troubles due to a photomask making mechanical systems light-weight for facilitating the enlargement of a substrate by a method wherein a rapid (less than μsec) ON/OFF control mechanism of laser beams, an iris diaphragm mechanism of laser beams and a scanning mechanism of laser beams are provided to control said mechanisms for making selective irradiation feasible. CONSTITUTION: A substrate 17 is linearly irradiated with visible laser beams 18 emitted from a semiconductor laser 11 (main scanning). Consequently, the whole surface of the substrate 17 can be irradiated with laser beams 21 by shifting an optical system 19 composed of the semiconductor laser 11, iris diaphragm mechanisms 13 (optical unit) 14 (fθ lens) and a rotary polygon mirror 15 in parallel with the substrate 17 (sub scanning). Thus, synchronous signals 23, 24, 25 are transmitted from a control signal transmission circuit 22 respectively to a power supply 12, the rotary polygon mirror 15 and the shifting mechanism 20 of the optical system 19 so that the substrate 17 may be selectively irradiated with the laser beams 21 to transfer any specified mask pattern to a sliver chloride layer on the substrate 17. Through these procedures, the substrate 17 can be enlarged easily further enabling a photomask to be eliminated. COPYRIGHT: (C)1990,JPO&Japio

10 citations


Patent
16 Feb 1988
TL;DR: In this article, a photomask set up in the vaporized gas of a specific compound is used to correct white and black defects without generating secondary defects by radiating laser ultraviolet rays to the photomasks.
Abstract: PURPOSE:To continuously and rapidly correct white and black defects without generating secondary defect by radiating laser ultraviolet rays to a photomask set up in the vaporized gas of a specific compound. CONSTITUTION:The photomask held in a chamber 22 and set up in chrome compound gas such as Cr(CO)6 gas 24 carried from a carrier gas feeder 30, a material room 29, etc., is irradiated by ultraviolet laser beams through a laser light source 3 and the 2nd and 4th high frequency derivation units 5, 6 while being observed by an observing optical system 17 and white defects reduced at their metal components are corrected by Cr thermally decomposed from the gas 24. On the other hand, excess metal is melted and evaporated by visual laser light radiation through mirrors 34, 36 or the like inserted into an optical path and the unit 4 and black defects are also similarly corrected. Consequently, the white and black defects can be continuously and rapidly corrected without generating secondary defects.

8 citations


Patent
03 Nov 1988
TL;DR: In this article, a resist film having a pattern is formed on the molybdenum silicide film, and the resist film is used as a mask for photomask manufacturing.
Abstract: In manufacturing a photomask, a molybdenum silicide film is formed on the main surface of a quartz substrate. A resist film having a pattern is, then, formed on the molybdenum silicide film. Thereafter, the molybdenum silicide film is etched using the resist film as a mask. The etching is effected in a plasma generated in a mixed gas containing nitrogen gas in CF4 gas.

8 citations


Patent
09 Jul 1988
TL;DR: In this paper, a photomask having the shape of an exposure part is formed on the basis of the reference graphic 12 of a simplar pattern is drawn at first, and then fine additional graphics 13a1-13a4 are drawn on four corners of the graphic 12.
Abstract: PURPOSE:To correct the looseness of corner parts due to the lack of exposure by adding recessed corner parts to be adjusting fine patterns to a photomask in case of forming a photoresist pattern by the photomask. CONSTITUTION:In case of forming a photoresist pattern 3 having rectangular windows 2a, 3a, a reference graphic 12 of a simplar pattern is drawn at first, and then fine additional graphics 13a1-13a4 are drawn on four corners of the graphic 12. Then, a photomask having the shape of an exposure part 14a is formed on the basis of the reference graphic 12. A positive type photoresist layer 3' applied to a worked body 2 on a semiconductor substrate 1 is exposed. At that time, the lack of exposure on the four corner of the exposure pattern is corrected by fine patterns 15a1-15a4 to form an objective rectangular pattern (a). When the pattern (a) is developed, a photoresist pattern 3 having accurate rectangular windows 2a, 3a can be obtained.

Patent
25 Feb 1988
TL;DR: In this paper, the authors proposed to prevent electrostatic charging of a blank plate at the time of electron beam drawing by providing an exposure section of a conductive light intercepting film on the surface of the blank plate and bonding an electrical conductive pin to the exposure section.
Abstract: PURPOSE: To prevent electrostatic charging of a blank plate at the time of electron beam drawing by providing an exposure section of a conductive light intercepting film on the surface of the blank plate and bonding a conductive pin to the exposure section. CONSTITUTION: On the surface of the transparent base plate 2 of a blank plate an exposing section 22, where the conductive light intercepting film 3 having a smaller electric resistance which is the bottom layer is exposed, is formed. Therefore, at the time of drawing with electron beam, emitted electrons easily move to a conductive pin 6 from the conductive light intercepting film 3 having a smaller electric resistance after they pass through a resist layer 5 and reach a reflection preventing layer 4 having a larger electric resistance. Accordingly, a photomask of a precise pattern can be obtained by electron beam drawing, since no electric charge is accumulated in the photomask. COPYRIGHT: (C)1989,JPO&Japio

Proceedings ArticleDOI
01 Jan 1988
TL;DR: In this article, a simulation model of the product of photolysis in the latent image is calculated as resulting from patternwise exposures and resolution enhancing procedures such as Image Reversal (ImRe) and Built In Mask (BIM), and it is shown that using an i-line lens with 0.42 NA, the patterning of 0.5 μm lines and spaces will be possible.
Abstract: The lithographic requirements for half-micron and sub-half-micron design rules are discussed in terms of the resolution capability of 436 nm, 365 nm and 248 nm reduction steppers, the depth of focus, bulk and reflection effects and the impact of using advanced single-layer resist systems instead of conventional positive photoresists. Using the simulation model SLIM (Simulation of Latent Image Manipulations) the distribution of the product of photolysis in the latent image is calculated as resulting from patternwise exposures and resolution enhancing procedures as Image Reversal (ImRe) and Built In Mask (BIM). On the basis of the concentrations and gradients arising from patterning with 365 nm in a 1.5 μm thick resist layer it is shown that, using an i-line lens with 0.42 NA, the patterning of 0.5 μm lines and spaces will be possible. For sub-half-micron dimensions a shorter wavelength (e.g. 248 nm) is required to ensure a sufficient image contrast. A difficulty that arises at this wavelength is that novolak-based photoresists exhibit a very low transparency, thus hampering the penetration of UV-light in the resist layer. It is shown that, in order to image these resists in the positive mode either the absorptivity of the resin or the thickness of the resist layer has to be reduced considerably. Alternatively a 'top-imaging' procedure, as for instance BIM and DESIRE could be used. In this case a penetration depth of the imaging UV-light of 0.3 μm may be sufficient. An additional advantage of these procedures is that both the problem of limited focus depth and the detrimental effects caused by reflection of UV-light at the substrate are alleviated.

Patent
09 Nov 1988
TL;DR: In this article, the authors proposed a method to inexpensively attain mass production by engaging a diffraction grating consisting of a transparent material with a diffusion grating containing a light absorbing agent through respective grating faces.
Abstract: PURPOSE:To inexpensively attain mass production by engaging a diffraction grating consisting of a transparent material with a diffraction grating containing a light absorbing agent through respective grating faces. CONSTITUTION:The titled diffraction grating is constituted of a blaze-like diffraction grating 2 consisting of a transparent material and a blaze-like diffraction grating 4 consisting of a material containing the light absorbing agent and respective gratings are engaged with each other through respective grating faces. Namely, the format modulation type diffraction grating is prepared and the format modulation face of the diffraction grating is coated with the layer 4 of the light absorbing agent having almost the same refractive index as that of a substrate 2 of the diffraction grating to form the photomask. The format modulation type diffraction grating can be formed by single exposure by using the photomask. Consequently, the formation process can be simplified and the mass production can be attained.

Patent
07 May 1988
TL;DR: In this article, a photo mask is used to reduce the manufacturing cost of a mask by using a plurality of mask regions which have mutually different mask patterns on a substrate by laying in sequence each mask pattern on a wafer by shifting the relative position of the photomask and the wafer and by projecting and exposing.
Abstract: PURPOSE:To reduce the manufacturing cost of a mask by using a photo mask wherein a plurality of mask regions which have mutually different mask patterns on a substrate is provided, by laying in sequence each mask pattern on a wafer by shifting the relative position of the photomask and the wafer and by projecting and exposing. CONSTITUTION:A photomask 12 is being fixed and is moved on a stage 21 to the direction of an arrow A1, the region P2 of a silicon wafer 22 adjacent to the region P1 is exposed, developed and etched and a buried diffusion layer is formed in the region P2. Then, the stage 21 is being fixed, the photo mask 12 is moved to the direction of an arrow B1, a mask region 15 for forming a separated diffusion layer is positioned directly under a light source 24 and the separated diffusion layer is formed in the region P1 where the buried diffusion layer is already formed on the wafer 22. Since the mask regions 14-20 of all the processes have been formed on one substrate, only the preparation of one photomask is sufficient for manufacturing one sort of semiconductor. This enables the reduction of the manufacturing cost of the mask.

Patent
03 Mar 1988
TL;DR: In this article, a photomask or reticle is cleaned without any pattern separation by coating the photomasks or reticles right after exposure with an organic protection film by spinning and washing away the protection film with a proper solvent right before the exposure.
Abstract: PURPOSE:To clean a photomask or reticle without any pattern separation by coating the photomask or reticle right after exposure with an organic protection film by spinning and washing away the protection film with a proper solvent right before the exposure CONSTITUTION:On a mask substrate 1, Cr2 is patterned and this mask is scrubbed and washed by using pure water 3 to remove dirt Then, an isopropyl alcohol solution of naphthalene is dripped right after the mask is used in an exposure process to form a protection film 4 by spin coating to 01-10mum thickness, and the mask is kept at room temperature as it is The naphthalene film 4 and dirt 5 on its are removed with ethanol 6 right before the exposure Then, the cycles after the exposure are repeated Consequently, no dirt sticks directly of the photomask or reticle is improved without the separation of the pattern

01 Jan 1988
TL;DR: In this article, the development of photomask reticle calibration standards for single particle instruments is discussed, where the particle artifacts are actually disks of chrome thin film in the clear field reticles produced by photolithography and etching processes.
Abstract: The development of photomask reticle calibration standards for single particle instruments is discussed. The calibration method studied involves the use of photomask reticles where the particle artifacts are actually disks of chrome thin film in the clear field reticles produced by photolithography and etching processes. Consideration is given to various aspects of theory, design, and performance.

Patent
10 Mar 1988
TL;DR: In this paper, a photomask is set on a projection 13a on the inner peripheral edge of a frame body and a detaching tool is fitted along engaging recessed and projecting parts 13b of frame bodies 13.
Abstract: PURPOSE:To reuse a photomask by making outside dimensions of protective tools larger than the photomask and providing projections on the inner and outer peripheral edges of frame bodies and providing recessed and projecting parts on junction parts to easily detach the photomask without damaging the surface of the photomask. CONSTITUTION:In case of mounting a pair of protective tools 12 to a photomask 1, the photomask 1 is set on a projection 13a on the inner peripheral edge of a frame body 13 of one protective tool 12 to hold the photomask by this projection 13a, and the other protective tool 12 is fitted along engaging recessed and projecting parts 13b of frame bodies 13. Consequently, the photomask is easily mounted. Since an adhesive is used on engaging recessed and projecting parts 13b, the stain caused by the adhesive is prevented from sticking to the photomask 1 and the photomask 1 is not polluted by the adhesive. When protective tools 12 are detached because of the penetration of foreign maters or the like, the front end of a detaching tool is fitted to the boundary part between projections 13c on the outer peripheral edges of frame bodies 13 and is vertically moved to easily detached protective tools 12 without damaging the surface of the photomask 1, and thus, protective tools 12 are reused.

Patent
29 Feb 1988
TL;DR: In this paper, a memory element part pattern and a peripheral circuit part pattern are subjected separately to focus alignment and exposure by using the same wafer alignment pattern and the same reducing lens and by varying a shielding region for an exposure light.
Abstract: PURPOSE:To enable the attainment of a high pattern resolution even in the exposure of a memory element having large difference in steps by a method wherein a memory element part pattern and a peripheral circuit part pattern are subjected separately to focus alignment and exposure by using the same wafer alignment pattern and the same reducing lens and by varying a shielding region for an exposure light. CONSTITUTION:A memory element part pattern 10a which is drawn on a photomask 1 and has a stepped part and a peripheral circuit part pattern 11a which consists of an address selecting circuit and others are exposed on a chip 5 on a wafer 4 through a reducing lens 3 by using a light beam from an exposure optical system 6. In such an exposing method of a semiconductor, the memory element part pattern 10a on the photomask 1 and the peripheral circuit part pattern 11a are subjected separately to focus alignment and exposure by using a single alignment pattern 24 of a wafer 4 and the single reducing lens 3 and by varying a shielding region of exposure light from the exposure optical system 6. For the aforesaid photomask 1, a photomask 1a for the memory element part pattern and a photomask 1b for the peripheral circuit part pattern are used, for instance, and these photomasks are switched over for exposure.

Patent
10 Jun 1988
TL;DR: In this article, a resist is applied on the photomask of a graphic pattern where the void defect arises and the part of the defect 3 is exposed by light, and an optically opaque film is formed when the remaining resist 4 is carbonized.
Abstract: PURPOSE: To easily and rapidly correct a void defect by coating a resist on a photomask, removing the resist except the void defect part where a light shielding material ought to exist drops out and carbonizing the remaining part. CONSTITUTION: The resist 4 is coated on the photomask 1 of a graphic pattern 2 where the void defect 3 arises and the part of the defect 3 is exposed by light 5, etc. The resist 4 of the part except the defect part 3 is removed when the resist is developed. An optically opaque film 7 is formed when the remaining resist 4 is carbonized. The void defect is thus rapidly corrected by a simple process. COPYRIGHT: (C)1990,JPO&Japio

Proceedings ArticleDOI
06 Dec 1988
TL;DR: In this paper, an X-ray contact printing of sub-micron gratings for integrated optical devices is described, once the mask fabrication process is worked out and the first results from the contact printer are presented.
Abstract: This paper describes work that has been done to develop X-ray contact printing of submicron gratings for integrated optical devices in our department Previously, integrated optical devices, such as waveguide filters, have been made here using holographic exposure directly onto waveguide or semiconductor substrates X-ray contact printing of holographically generated masks should make the fabrication of such devices simpler The paper describes the work to date and gives fabrication details for the X-ray masksX-ray contact printing is comparatively simple to perform, once the mask fabrication process is worked out The paper describes our first results from the contact printer In addition some results are presented on the manufacture of an X-ray mask for Quantum Dot structures 1 INTRODUCTION Many devices, such as Distributed Feedback (DFB) semiconductor lasers, Lateral Surface Superlattice devices and Quantum Dot arrays incorporate submicron grating structures with periods below 400 nm First order DFB lasers in the GalnAsP semiconductor system with emission wavelengths between 13 and 155 um require etched gratings with periods between 019 Jim and 023 Jim to meet the Bragg condition Wavelength filters on ion exchanged waveguides require periods of the order o!210 nm (for 6328 nm HeNe laser light) or greater The gratings are usually produced either by holographic exposure directly onto a sample which has been previously coated with photoresist or has been immersed in a photochemical etch, (1) or by electron beam lithography Holographic lithography is the only technique which can produce large uniform areas of grating rapidly (potentially several centimetres in diameter) However, this technique suffers from two disadvantages; firstly, it is complicated to perform, especially on high reflectivitysemiconductor surfaces, and secondly, it is not always reliable Electron beam lithography can produce very complex grating structures, in particular a X / 2 shift in period is easy to implement, but the throughput is low and the capital cost is highUsing holographic lithography (and also electron beam lithography) to define masks for X-ray contact printing potentially provides a high throughput, simple route for submicron pattern replicationMuch of the current interest in X-ray printing employs elaborate and costly X-ray sources, such as synchotrons or laser induced plasmas( \ A simpler and cheaper alternative source, such as a modified e-beam evaporator demonstrates the advantages of X-ray printing, such as parallel processing, feature reproduction down to 100 nm linewidths, sample exposure independent of substrate type and of contamination by low atomic number material, repeatability and a lower technology operating procedure, at the expense of longer exposure times due to the low brightness of the source

Patent
26 May 1988
TL;DR: In this article, the defect of a reticle was easily inspected by using two reticles having the same patterns, transferring them to a wafer by a reduction stepper, and comparing transferred transferred patterns by a Wafer inspecting device.
Abstract: PURPOSE:To easily inspect the defect of a reticle by using two reticles having the same patterns, transferring them to a wafer by a reduction stepper, and comparing transferred patterns by a wafer inspecting device. CONSTITUTION:First reticle of two reticles is first mounted to a reduction stepper, and reduction patterns 4 are transferred at an interval on a wafer 2, and the patterns 4 are transferred at an interval to the wafer 2. Then, the second reticle having the same pattern as the first reticle is mounted instead of the first reticle to the exposure device, and contracted patterns 6 are so transferred to bury the part of the gap between the patterns 4 to the same wafer 2 to which the patterns 4 of the first reticle are transferred. Then, the patterns 4 are compared with the patterns 6 for inspection, and if the detected defects exist on all chips exposed with the same reticle, the reticle is judged to be defective.

Patent
13 Sep 1988
TL;DR: In this paper, the authors proposed to form an accurate circuit pattern on a complicated curved surface by forming a mask pattern, where aberrations accompanied with the distance between a photomask and the surface of a base are corrected, on the photomedomask in case of formation of the pattern.
Abstract: PURPOSE:To form an accurate circuit pattern on a complicated curved surface by forming a mask pattern, where aberrations accompanied with the distance between a photomask and the surface of a base are corrected, on the photomask in case of formation of the pattern. CONSTITUTION:Since a part 1'' of a base 3 corresponding to the part of a pattern 1' of a photomask 1 is relatively plane, the pattern of the photomask 1 is formed with a certain width (t). The part of a pattern 4 of the photomask 1 corresponding to a part 3' having a curved surface of the base 3 is formed into a shape where aberrations accompanied with the difference of distance from the curved surface are corrected, thereby forming a circuit pattern, which faithfully reflects the plane part 1' of the base 3 and a design idea and has the same shape and line width, on the curved surface by the light transmitted through the pattern part of the photomask 1.

Proceedings ArticleDOI
14 Jun 1988
TL;DR: SiC-Au-stepper masks have been used for the fabrication of sub-micron devices by SOR-based X-ray lithography in connection with a high precision alignment as mentioned in this paper.
Abstract: SiC-Au-stepper masks have been used for the fabrication of sub-micron devices by SOR based X-ray lithography in connection with a high precision alignment. As a test device we chosed a sub-micron transistor with four lithographic layers. The gatelength and the gate-width is scaled down from 2.0 pa to 0.5 wri, and 8.0 p.m to 1.0 p.m respectively. The SiC-mask blanks have been fabricated in a batch process by high temperature CVD deposition. Due to extensive process optimization SiC-membranes with a very smootA surface (RMS-roughness < 20 nm) and a Young's modulus as high as the bulk value (4.6•19 Pa) have been fabricated. Membranes of 2.5 4m in thickness having areas of up to 28 cm are being prepared with excellent transparency for synchrotron and optical radiation. For high X-ray absorption electroplated Au absorbing pattern have been applied. The combination of rigid SiC-membranes with a stress reduced Au-absorber (stress less than 1•10 Pa) will result in a mask distortion of less than 5 ppm even for a "worstcase" geometry of membrane and absorber pattern. Precise 0.5-micron pattern with an excellent control of the critical dimensions have been generated by e-beam lithography and electroplating, as well as mask copying by using synchrotron orbit radiation (SOR). Due to the excellent detection accuracy of the alignment pattern in case of SiC-masks (6 nm) a practical determined alignment accuracy of 40 nm has been obtained by using the MAX1 stepper at BESSY.

Proceedings ArticleDOI
06 Dec 1988
TL;DR: In this paper, a method to fabricate the gratings useful for integrated optical circuits (IOC) is described, which combines optical projection lithography with spatial filtering, and produces a grating pattern in the image plane that has a high contrast and a large depth of focus.
Abstract: A new method to fabricate the gratings useful for integrated optical circuits (IOC) is described. The method combines optical projection lithography with spatial filtering.The projection lens uses nearly coherent illumination. Only the two first orders diffracted by the mask grating are allowed to pass through the lens. This produces a grating pattern in the image plane that has a high contrast (near 100%), a large depth of focus (approx. ± 13 gm), and a period half of what would have been obtained in normal imaging. Thus, with a 5X reduction lens a 5 pm period grating on the mask produces a 0.5 p.m period image on the wafer. Gratings of different periods, sizes, locations, orientations, and configurations (chirped, phase shifted, etc.) can all be produced on the same chip with a single exposure. Using a deep UV lens with a line-narrowed 248 nm KrF excimer laser for illumination, we printed 0.5 pm period gratings in an oxide layer on 10 mm X 10 mm silicon chips. Because of the high contrast, the photoresist patterns had very high quality. In addition, the extended depth of focus was observed. This method is primarily useful for patterning periodic structures. Lenses designed for this system could be made with large numerical apertures and/or image fields, and be able to pattern gratings of 0.23 gm period for semiconductor waveguide devices. This technique opens up the possibility of high-volume production of IOC chips with Bragg filters using standard IC fabrication facilities.


Patent
01 Apr 1988
TL;DR: In this paper, a wave-shaped periodical unevenness denoted by a straight line or a curve on the surface is formed on a photomask to facilitate the manufacturing of a diffraction grating and to improve repeatability.
Abstract: PURPOSE:To facilitate the manufacture of a diffraction grating and to improve repeatability by giving a wave-shaped periodical unevenness denoted by a straight line or a curve on the surface CONSTITUTION:The periodical unevenness is formed on a photomask 20 It is manufactured as follows: metal such as Al is evaporated on a glass substrate 11, and lines are drawn on the surface, thereby manufacturing a master disk 15 A resin 22 such as an epoxy resin is applied to the glass substrate 21 and press-contacted on the master disk 15 After the resin 22 is cured, the unevenness is transferred to the surface of the resin 22 Then Cr is evaporated obliquely, and a transmissivity control film 30 is formed only on the one uneven side to obtain the mask 20 It is used for manufacturing the diffraction grating The mask 20 is arranged on a substrate 50 made of InP, for instance, He-Cd laser is made incident at a prescribed angle Then a resist 2 on the substrate 50 is etched to obtain the diffraction grating

Patent
27 Jul 1988
TL;DR: In this article, a pattern is drawn thereon by electron beam scanning and is subjected to a development process to obtain a satisfactory photomask at a high yield by forming a thin carbon film by vapor deposition on a photOMask substrate prior to formation of a chromium oxide film on said substrate.
Abstract: PURPOSE:To obtain a satisfactory photomask at a high yield by forming a thin carbon film by vapor deposition on a photomask substrate prior to formation of a chromium oxide film on said substrate. CONSTITUTION:Carbon receives the influence of heat and is easily diffused into the glass substrate 1 to have the high adhesiveness to the substrate when the thin carbon film 2 is formed by a vapor deposition method on the substrate 1. Vacuum deposition of high-purity chromium is executed under oxygen partial pressure to form the thin chromium oxide film 3 on the film 2 and the photomask film 4 is deposited on the film 3. A pattern is drawn thereon by electron beam scanning and is subjected to a development process. Since the film 2 has electrical conductivity, the charge of the thin film 3 formed thereon escapes to the side of the thin film 2 even if the pattern consisting of said thin film is electrified. The inter-pattern electrostatic breakdown by the concentration of the charge is thus prevented. The photomask having the high dimensional accuracy of the pattern is thereby formed at the high yield.

Patent
20 Oct 1988
TL;DR: In this paper, a photomask for diffraction gratings formed with a pattern for the diffraction grating forming regions on a photosensitive material based on marks for alignment and exposing the same pattern is presented.
Abstract: PURPOSE:To provide an exact positional relation between waveguides and diffraction gratings and to permit mass production by superposing a photomask for diffraction gratings formed with a pattern for the diffraction grating forming regions on a photosensitive material based on marks for alignment and exposing the same CONSTITUTION:The photomask 13 for waveguides formed by coating the photosensitive material 12 having the refractive index to the wavelength of object light higher than the refractive index of a substrate 10 attached with the marks 11 for alignment on said substrate 10 and forming the pattern 6 for forming the waveguides based on the marks corresponding to the marks 11 for alignment is superposed on the photosensitive material 12 based on the marks 11 and is exposed The photomask 18 for diffraction gratings formed with the patterns for the diffraction grating forming region based on the marks corresponding to the marks 11 is then superposed on the material 12 based on the marks 11 and is exposed by the two beam interference method, then the photosensitive material is developed to form the waveguides and diffraction gratings The simple treatment consisting of the combination of a photolithographic technique and the two beam interference method is thereby simply necessitated and the exact positional relation between the waveguides and the diffraction gratings is obtd

Patent
14 Jan 1988
TL;DR: In this article, a photomask original plate and an inspected printing substrate were used as a reference to prevent a defective inspecting error by using the original plate itself as a direct reference.
Abstract: PURPOSE:To prevent a defective inspecting error by using a photomask original plate itself as a reference. CONSTITUTION:On a base board 1, a photomask original plate 2a and an inspected printing substrate 2b as a reference are mounted, and scanned respectively corresponding to optical sensors 3a and 3b. For a detecting signal, in respective Amps 4a and 4b, a level is adjusted, digitized by A/D-converters 5a and 5b and next, comes to be a pulse (bit) column by binarization circuit 6a and 6b. In noise removals 7a and 7b, the bit in the adjoining constant scope is all '0,' or is a decimal of a constant value or below, and then, this is removed as a noise. Noise removed data are the inspection data. Next, for the inspection data to the photomask original plate, a degenerating quantity DELTA1 is subtracted in a data degenerating processing 8 and the reference data are obtained. Next, to the reference data and the inspecting data of the inspected printing substrate, characteristic extractions 9a and 9b are executed, both are compared 10 and at the time of the disagreement, a defective signal is outputted 11.