scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 1990"


Proceedings ArticleDOI
Isamu Hanyu1, Satoru Asai1, Kinjiro Kosemura1, Hiroshi Ito1, Mitsuji Nunokawa1, Masayuki Abe1 
01 Jun 1990
TL;DR: In this article, a phase-shifting mask with Si02 phase shifters has been proposed to enable subhalf-micron optical lithography, which has the advantages of low absorption under deep UV and a lack of multiple interference.
Abstract: A phase-shifting mask enables subhalf-micron optical lithography. We propose a new phase-shifting mask with Si02 phase shifters. Si02 phase shifters on a quartz mask substrate have the advantages of low absorption under deep UV and a lack of multiple interference. Si02 phase shifters were fabricated by lift-off of the evaporated Si02 film. The new phaseshifting mask is highly transparent to deep UV and provides a 2 uniformity of phase shift over the full exposure field of a 5X stepper. Improved resolution of 0. 25 im lines and spaces was achieved by using a KrF excimer laser stepper and the new phase shifting mask. We also characterize the image profile projected with a phase-shifting mask because the reproducibility of mask features on a wafer declines when a phase-shifting mask is used. We indicate the importance of the interference between the main and side lobes of diffraction patterns for individual apertures and clarify the mechanism ofreproducibility degradation in optical lithography using a phase-shifting mask. 1.

62 citations


Journal ArticleDOI
TL;DR: Kinoforms manufactured in photoresist by photolithographic techniques using a single, ten-level, grey scale photomask, exposed in a specially designed laser exposure system, are described.
Abstract: Kinoforms manufactured in photoresist by photolithographic techniques using a single, ten-level, grey scale photomask, exposed in a specially designed laser exposure system, are described. Kinoforms designed for uniform as well as for partial Gaussian beam illumination are discussed. The highest measured diffraction efficiency was 55%. Photoresist kinoforms were transferred into quartz substrates by reactive ion etching. The highest measured diffraction efficiency for the resulting all-quartz kinoforms was 53%.

46 citations


Journal ArticleDOI
TL;DR: In this paper, a photomask process was used for germanium strip detectors, which allows all kinds of segmented electrodes to be used and achieved 1.2 keV FWHM on the 122 keV line of 57 Co with a X − Y detector having 20 strips on each side.
Abstract: A new technology for germanium strip detectors has been developed. This technique uses a photomask process which needs no growing and etching and allows all kinds of segmented electrodes. Typically we have obtained 1.2 keV FWHM on the 122 keV line of 57 Co with a X − Y detector having 20 strips on each side.

40 citations


Patent
19 Sep 1990
TL;DR: In this paper, the authors propose a method to enable the shortening of a required semiconductor integrated circuit in development and production time by a method wherein a mask pattern used in a wiring process is divided into two parts, one is a common pattern which takes no part in a required function and the other is an original pattern other than the common pattern, and only the layout of the original pattern is designed.
Abstract: PURPOSE:To enable the shortening of a required semiconductor integrated circuit in development and production time by a method wherein a mask pattern used in a wiring process is divided into two parts, one is a common pattern which takes no part in a required function and the other is an original pattern other than the common pattern, and only the layout of the original pattern is designed. CONSTITUTION:A first mask pattern 1 is used for a second layer wiring 4, which is used for the connection of input-output terminals 8-11 of logic functional blocks 1a-1c, separated from a second layer wiring 4 which does not depend on a semiconductor integrated circuit. A second mask pattern 4 takes no part in a second wiring required for constituting a required semiconductor integrated circuit. The first mask pattern for the second layer wiring is subjected to a data conversion process, so as to be inputted into a photomask manufacturing device, and the second mask pattern for the second layer wiring is subjected to a data conversion process so as to be also inputted into the photomask manufacturing device, and a piece of photomask is manufactured through the data. By this setup, only a specific mask pattern required for constituting a required semiconductor integrated circuit is subjected to a data conversion process so as to be inputted into a photomask manufacturing device, so that a semiconductor integrated circuit device can be shortened in development and production time.

34 citations


Proceedings ArticleDOI
01 Jun 1990
TL;DR: In this paper, the minimum feature size with a depth of focus (DOF) required for LSI fabrication process is analyzed based on calculated optical image characteristics and experimentally obtained image quality criterion for pattern delineation.
Abstract: Practical resolution the minimum feature size with a depth of focus (DOF) required for LSI fabrication process is analysed. Analysis is based on the calculated optical image characteristics and experimentally obtained image quality criterion for pattern delineation. It is found that practical resolution is not improved but may even be degraded with increasing NA and/or shortening wavelength. This means that the high resolution capability of advanced optical systems cannot be effectively utilized in actual fabrication of future LSIs if conventional optical lithography is used. To overcome this limitation the effectiveness of advanced image formation techniques the phaseshifting method and the FLEX method are investigated. It is shown that these techniques make it possible to overcome the limitations of conventional optical lithography. 1.

27 citations


Patent
06 Jun 1990
TL;DR: In this paper, a photomask for a negative resist used for forming a resist image in the form of an isolated pattern is described, where the mask pattern is formed of a phase-shifting layer (44, 62) alone or a phase shifting layer (86, 96, 116, 130, 150) and a light screening layer (84, 94, 112, 124, 152).
Abstract: A photomask for a negative resist used for forming a resist image in the form of an isolated pattern. The photomask (40; 60; 70; 80; 90; 100; 120; 140) comprises a substrate (42; 82; 92; 102; 122; 142) transparent to an exposure light and a mask pattern (44; 62; 84 and 86; 94 and 96; 110; 132; 154) on the substrate. The mask pattern is formed of a phase-shifting layer (44; 62) alone or of a phase-shifting layer(s) (86; 96; 116; 130; 150) and a light-screening layer(s) (84; 94; 112; 124; 152). The phase-shifting layer is capable of shifting the phase of the light transmitted therethrough relatively to the phase of the light transmitted through the area of the photomask other than the area of the phase-shifting layer. A phase-shifting layer may be provided in such a way to substantially surround a light-screening layer having a width permitting of no resolution by itself. A phase-shifting layer may be provided in such a way to fill up an auxiliary aperture (114; 128; 148) provided in a light-screening layer. The portion of the phase-shifting layer filled in the aperture having a width permitting of no resolution by itself. With the use of the photomask, such a fine space or hole resist pattern as to exceed the resolution limit can be formed with excellent resolution and focus margin. A process for forming an isolated resist pattern using the photomask is also disclosed.

22 citations


Patent
10 Oct 1990
TL;DR: The photomask manufacturing method in this paper includes a transparent substrate, a predetermined pattern of a light blocking member disposed on the transparent substrate and a phase member formed along a peripheral edge of the light-blocking member and exposed by a predetermined width.
Abstract: A photomask includes a transparent substrate, a predetermined pattern of a light blocking member disposed on the transparent substrate, and a phase member formed along a peripheral edge of the light blocking member and exposed by a predetermined width. The photomask manufacturing method includes forming a transparent film on a surface of a transparent substrate, forming a predetermined pattern of a light blocking member on the transparent film, forming an etching mask layer on the transparent film and light blocking member, anisotropically etching the etching mask layer to leave the etching mask layer on the transparent film and along a peripheral edge of the light blocking member, and selectively etching the transparent film with the light blocking member and the remaining etching mask layer as a mask to form a phase member of the transparent film along the peripheral edge of the light blocking member.

17 citations


Patent
20 Aug 1990
TL;DR: In this paper, a photomask provided with a phase member was inspected by optimizing the aperture stop of an illuminating optical system and the aperture-stop of a photoelectric detection means, and a remarkable difference was found out between both of them.
Abstract: PURPOSE: To completely inspect the defect of a photomask provided with a phase member by optimizing the aperture-stop of an illuminating optical system and the aperture-stop of a photoelectric detection means. CONSTITUTION: By moving the holding bodies 11a and 11b of the photomask 10, an image pickup signal processing circuit 19 fetches a light quantity signal from an image pickup element 17 and transmits it to a comparison computing element 20 in synchronism with a signal generated from a positional information generator such as an encoder, etc., which is provided in the position detecting means of a driving motor 12 or the photomask 10. Meanwhile, the signal from the positional information generator is transmitted to the computing element 20, which reads out corresponding circuit pattern information from a storage device 21 in response to the signal. The computing element 20 compares two pieces of information, that is, the information obtained from the element 17 and the information obtained from the device 21. When a remarkable difference is found out between both of them, it is judged that there is the defect in the circuit pattern 10a of the photomask 10. COPYRIGHT: (C)1992,JPO&Japio

16 citations


Patent
Shogo Matsui1, Kobayashi Kenichi1
24 Sep 1990
TL;DR: In this article, a method of inspecting a photomask reticle for the fabrication of a semiconductor device such as a die having a first pattern which has its own individual shape and a plurality of second patterns each having the same shape and size among themselves, combining the methods of the database inspection and of the pattern comparing inspection was proposed.
Abstract: A method of inspecting a photomask reticle for the fabrication of a semiconductor device such as a die having a first pattern which has its own individual shape and a plurality of second patterns each having the same shape and size among themselves, combining the methods of the database inspection and of the pattern comparing inspection. The method of database inspection is applied to the first pattern and to one of the second patterns selected randomly. The database inspection can be performed by comparison with inspection data derived from design data used for the fabrication of the photomask reticle, such as by an improved reticle tester which can exclude all of the second patterns except the selected one of the second patterns. The second patterns other than the selected pattern are then inspected by the method of the pattern comparing inspection, that is, by comparison with the selected second pattern which has already been inspected by the database inspection. The volume of the storage for the inspection data and the time required to inspect the photomask reticle can be reduced to less than one fourth of those in the prior art inspection method, while maintaining high accuracy in the inspection of photomask reticles.

16 citations


Patent
Feely Wayne Edmund1
16 May 1990
TL;DR: In this article, the microplastic structures are prepared from crosslinkable photosensitive compositions using a specially designed radiation attenuating photomask which permits preselection of the size, length, width and thickness of the desired structure.
Abstract: Thermally stable microplastic structures and methods for their manufacture are provided. The microplastic structures are prepared from crosslinkable photosensitive compositions using a specially designed radiation attenuating photomask which permits preselection of the size, length, width and thickness of the desired structure. The microplastic structures are stable at temperatures in excess of 200° C. and can be used as components in miniature electrical, mechanical, chemical and optical devices, such as smart sensors integral to a silicon chip device.

15 citations


Patent
20 Feb 1990
TL;DR: In this paper, the authors proposed an optical waveguide type optical device whose optical axis is easily aligned by processing photosensitive resin on a filmy base by photolithography and forming a guide for optical axis alignment and an optical Waveguide at the same time.
Abstract: PURPOSE:To offer an optical waveguide type optical device whose optical axis is easily aligned by processing photosensitive resin on a filmy base by photolithography and forming a guide for optical axis alignment and an optical waveguide at the same time. CONSTITUTION:The filmy base 1 can hold the guide 2 for optical axis alignment and optical waveguide 3 and when the photolithography is applied, any film which has resistance to a liquid developer is usable. The photosensitive resin which is formed on the base 1 forms the optical waveguide by the photolithography, so the resin should substantially be transparent to the wavelength of guided light. The photosensitive resin formed on the base 1 by coating is exposed to light such as ultraviolet rays through a photomask which has a desired shape pattern and then an unexposed part is washed out by utilizing the difference in solubility between an exposed part and the unexposed part to obtain the optical waveguide 3 which has the desired shape pattern and the guide 2 for optical axis alignment.

Patent
18 Jul 1990
TL;DR: In this article, a photomask having a high adhesion strength between a metal and a base plate, while it has a fine pattern, and excellent in a condition on the base plate by forming an etching blocking layer, a light shielding film layer and an antireflection layer successively on the transparent base plate.
Abstract: PURPOSE: To obtain a photomask having a high adhesion strength between a metal and a base plate, while it has a fine pattern, and excellent in a condition on the base plate by forming an etching blocking layer, a light shielding film layer and an antireflection layer successively on the transparent base plate. CONSTITUTION: In order to increase the adhesion strength and reduce the glass damage by using a high m.p. metal, for example Ta and Mo capable of conducting a high speed etching with a dry etching with a fluorine series gas, a means inserting a chrome oxide or nitride layer remarkably enhanced the light transmissivity on the transparent base 4 is used, and 3 layers of the etching blocking layer 1, the light shielding layer 2, and the antireflection layer 3 are successively laminated in this order. Thus a photomask capable of conducting a fine processing without damaging an adhesion strength to the base plate, and the condition of the base plate is obtained. COPYRIGHT: (C)1992,JPO&Japio

Journal ArticleDOI
TL;DR: In this article, a trilayer resist system consisting of polymethyl methacrylate (PMMA), Si and polyimide has been studied using a deuterium lamp.
Abstract: Vacuum-ultraviolet lithography of a trilayer resist system which consists of polymethyl methacrylate (PMMA), Si and polyimide has been studied using a deuterium lamp. The exposure dose needed for a 0.1-µm-thick PMMA film is less than 1 mJ/cm2, and a high etch rate ratio of 40 for polyimide to Si is obtained with the reactive ion beam etching (RIBE) technique. Using a simple contact exposure system and RIBE, photomask patterns are accurately transferred in the polyimide down to a linewidth of 0.2 µm, and 50-nm line patterns of polyimide are obtained.

Patent
18 Sep 1990
TL;DR: In this article, the main face of a photomask was used to absorb gas for CVD for a recessed defect of a transparent film, and for projecting defects for phase shift etching.
Abstract: PURPOSE: To certainly correct the defect of a photomask by selectively irradiating defective areas with energy beams after allowing the main face of the photomask to absorb gas for CVD for a recessed defect of a transparent film, and after allowing the main face of the photomask to absorb gas for etching for a projecting defect. CONSTITUTION: A defective area 4 is selectively irradiated with energy beams EB, and a correcting film 6 is accumulated on the defective area 4, after allowing the main face of a photomask 1 to absorb gas G for CVD. And also, when the defective is a projecting defective 7, the defective area 7 is selectively irradiated with the energy beams EB, and the defective area 7 is operated for etching, after allowing the main face of the photomask 1 to absorb the gas for etching. Thus, the defect of a transparent film 3 of the photomask 1 for phase shift can be accurately corrected. COPYRIGHT: (C)1992,JPO&Japio

Patent
05 Jan 1990
TL;DR: In this article, a thin metallic chromium film is adhered on the glass substrate 1 and coated with resist and only a desired part is drawn by using an electron beam exposure device to obtain a resist pattern 2.
Abstract: PURPOSE:To grasp quality speedily and accurately and to form a high-accuracy device by recording quality information of the photomask on the photomask. CONSTITUTION:A thin metallic chromium film is adhered on the glass substrate 1 and coated with resist and only a desired part is drawn by using an electron beam exposure device to obtain a resist pattern 2. At this time, a pattern 3 for recording the quality information is drawn outside an effective area. Specific inspection is performed after the pattern 2 is formed and the pattern 3 is cut by a laser correcting machine to record pieces of quality information 5-7. Consequently, the quality of each photomask is recorded, so when transfer to a semiconductor wafer is performed, the quality of the photomask is checked and grasped for use, so there are many advantages in the formation of a device and the yield is improved.

Proceedings ArticleDOI
27 Nov 1990
TL;DR: An automated pattern inspection system for printed circuit board (PCB) photomasks has been developed in this article, which is a model-based system in which detected patterns are compared with design patterns.
Abstract: An automated pattern inspection system for printed circuit board (PCB) photomasks has been developed. This system is a model-based system in which detected patterns are compared with design patterns to achieve a highly reliable inspection. Detected images are converted into binary patterns by selecting one of three thresholds. Defects are detected by a two-step image processing inspection. The first step is coarse alignment between the detected patterns and the design patterns. The second step is a local pattern comparison method in which small defects up to 1.5 pixel size can be detected without being influenced by pattern registration errors and sampling errors. A defect detection and pattern generation speed of 0.1 mu s/pixel has been achieved. The minimum size of detectable defects is 15 mu m, and it takes 14 min to inspect a photomask measuring 500*600 mm when pixel size is 10 mu m. >

Patent
02 Nov 1990
TL;DR: In this article, a photomask was used to construct a smooth oblique sidewall of a contact window of an interlayer insulating film by employing a translucent part on the outer periphery of an opening when the resist pattern of the window is formed.
Abstract: PURPOSE:To form a smoothly oblique sidewall of a contact window of an interlayer insulating film by employing a photomask having a translucent part on the outer periphery of an opening when the resist pattern of the window is formed. CONSTITUTION:A gate insulating film 2 and a gate electrode 3 are formed on an Si substrate 1, and an impurity diffused layer 4 is formed. Then, an interlayer insulating film 5 used also for flattening is formed on the electrode 3 and the substrate 1. A resist pattern 9 of a contact window is formed on the film 5 by using a photomask 7 for a contact window patterning with a translucent part 6 on the outer periphery of an opening. Then, the window 10 is formed at the film 5 by anisotropic dry etching, and wiring electrodes 11 are formed. Thus, since a resist is etched by dry etching, the oblique wall of the window 10 of the film 5 is formed in a shape having a smooth incline.

Patent
09 Aug 1990
TL;DR: In this article, a dust-preventing film comprising a pellicle frame and an adhesive layer was used to prevent foreign matter from adhering to the circuit patterns.
Abstract: A dust-preventing film comprising a pellicle frame (2), a pellicle film (1) spread across one end surface thereof, and an adhesive layer (5) that includes a hot melt-type adhesive (4) provided on the other end surfaces. The film is attached to a photomask (6) or a reticule to prevent foreign matters from adhering to the circuit patterns (7).

Patent
18 Sep 1990
TL;DR: In this article, a thin film of a material specified in the atomic number ratios of the ranges of the respective contents of chromium, nitrogen and oxygen on a light transmissive substrate and patterning this thin film, thereby forming the photomask was created.
Abstract: PURPOSE: To obtain the photomask blank and photomask having good light shieldability and low reflectivity by forming a thin film of a material specified in the atomic number ratios of the ranges of the respective contents of chromium, nitrogen and oxygen on a light transmissive substrate and patterning this thin film, thereby forming the photomask CONSTITUTION: The thin film 12 is formed on the light transmissive substrate 10 by a sputtering method, etc, using the material which consists of the chromium, nitrogen and oxygen and has 40 to 60%, 30 to 50% and 5 to 25% atomic number ratios of the ranges of the respective contents, by which the photomask blank is obtd An electron beam resist or photoresist 14 is then applied on the thin film 12 and is exposed with desired patterns by using an electron beam or light and is then subjected to development processing, by which resist patterns 14 are obtd The thin film 12 is then etched with the resist 14' as an etching mask and the resist layer 14 is peeled to obtain the photomask 12' The photomask blank having the good light shieldability and low reflectivity at the wavelength of an excimer laser beam and the photomask formed by using this blank are obtd in this way COPYRIGHT: (C)1992,JPO&Japio

Patent
05 Oct 1990
TL;DR: In this paper, the flatness of the surface of pellicles is maintained by attaching the pellicle onto a photomask under pressurization or reduced pressure, and then the inspection for the foreign materials on the pericles 29 and 30 can be carried out with sufficient inspection precision.
Abstract: PURPOSE:To improve the detection precision in the foreign material inspection, reduce the pattern defect due to the foreign material printing in a photoprocess and improve yield by maintaining the flatness of the surface of pellicles by attaching the pellicles onto a photomask under pressurization or reduced pressure. CONSTITUTION:In order to attach pellicles 29 and 30 on a reticle 28 under the equal pressure to that at the using place for the reticle 28, the pellicle installation reticle is shifted to the used place, i.e., into the stepper in a semiconductor device manufacturing factory, and then the internal pressure of a sealed chamber surrounded by the pericles 29 and 30 becomes equal to the outside pressure, and the expansion and contraction of the pellicles 29 and 30 are prevented, and the flatness can be maintained. Accordingly, the inspection for the foreign materials on the pellicles 29 and 30 can be carried out with sufficient inspection precision, and the pattern defect caused by the foreign material printing can be eliminated by detecting and removing the foreign material causing the foreign material printing, and the yield in the manufacture of the semiconductor device can be improved.

Patent
26 Dec 1990
TL;DR: In this article, two layers having different transmittance A and B are formed to film on a mask substrate G, the layer of a part corresponding to the edge part 2 of the circuit pattern in the layer B is removed by etching, etc.
Abstract: PURPOSE: To make light transmittance different on the edge and central parts of a circuit pattern. CONSTITUTION: Two layers having different transmittance A and B are formed to film on a mask substrate G, the layer of a part corresponding to the edge part 2 of the circuit pattern in the layer B is removed by etching, etc., and only the central part 1 of the circuit pattern has multilayer structure. These two layers A and B are made of materials having different chemical factors. Light intensity on the central part of the image of the circuit pattern is decreased, and the contrast of the image is improved. COPYRIGHT: (C)1992,JPO&Japio

Patent
19 Jan 1990
TL;DR: In this paper, the authors proposed a method to obtain a multi-gradation and high-quality image by a method wherein a photomask having pin holes positionally coincident with the optical axes of microlenses is disposed on the focal surface of the micro-lenses.
Abstract: PURPOSE:To obtain a multi-gradation and high-quality image by a method wherein a photomask having pin holes positionally coincident with the optical axes of microlenses is disposed on the focal surface of the microlenses. CONSTITUTION:Lights transmitting through pixel forming parts in light transmitting conditions, i.e. parts which are not covered with respective photomaks 30, are converged by microlenses 46 formed on a transparent glass substrate 34 opposedly to the pixel forming parts, furthermore transmitting through pin holes 52 formed on a photomask 50 to be irradiated on a photosensitive material 14. In this case, since the pin holes 52 are formed on an end face of the opposite side to the microlenses 46 on the transparent glass substrate 34, i.e. on the focus surface of the microlenses 46, so as to be positionally coincide with the optical axes of the microlenses 46, only parallel light components among diffuse lights emitted from a fluorescent lamp 12 are transmitted. Moreover, since the lights are converged by the microlenses 46 each disposed correspondingly to the pixel forming part free from the cover with the photomask 30, the possibility of the occurrence of irregularities in quantity of light is eliminated.

Patent
05 Jan 1990
TL;DR: In this article, the authors proposed to reduce damage to a sample by reducing an electron beam which irradiates a defect part of a photomask, etc, until charges which are accumulated with an ion beam can be neutralized when the defect is corrected.
Abstract: PURPOSE:To reduce damage to a sample by reducing an electron beam which irradiates a defect part of a photomask, etc, until charges which are accumulated with an ion beam can be neutralized when the defect is corrected CONSTITUTION:When a prescribed area of the sample 9 to be irradiated with the converged ion beam from an ion source 7 is irradiated with the electron beam from an electron gun 6, the electron beam is reduced until the charge-up state by the ion beam can be neutralized at the time of correcting the defect of the photomask, etc, as the sample Consequently, the defect of the photomask, etc, can accurately be recognized and the accuracy of the defect correction can be improved; and when the defect is corrected, damage to the photomask as a sample and a glass substrate is reduced

Patent
16 Aug 1990
TL;DR: In this paper, the photomask which allows the transmission of the desired exposing energy corresponding to the respective parts of the photoresist with one time of exposing is obtd. in this way.
Abstract: PURPOSE:To obtain the photomask which allows the transmission of light of desired exposing energy corresponding to the respective part of a photoresist with one time of exposing by consisting the photomask of part where light is completely shut off, a half-light shielding part where incident light is half transmitted and a part where light is completely transmitted. CONSTITUTION:The light shielding member 2 and the half light shielding member 3 are respectively provided on a light transparent substrate 1. The part provided with the light shielding member 2 is the partial ranges A and D where the light is completely shielded. The part where the half-light shielding member 3 is provided is the range B of the half-light shielding part where the incident light is half transmitted. The part where no members are provided on the substrate 1 is the range C of the part where the light is completely transmitted. The light of the quantity larger than the quantity of the light on the surface of the substrate 4 is reflected by the surface of an inorg. film 5, etc., having a large reflectivity and the photoresist 6 is further exposed in the range B. The control of the exposure in the range B and the exposure in the range C to the same exposure is possible. The photomask which allows the transmission of the desired exposing energy corresponding to the respective parts of the photoresist 6 with one time of exposing is obtd. in this way.

Patent
24 Aug 1990
TL;DR: In this article, the surface low-reflection photomask blank is produced by successively laminating a light shieldable film and the reflection preventing film consisting of a chromium carbide-contg. chromium oxynitride on a light transparent substrate.
Abstract: PURPOSE:To lower reflectivity by adding further gaseous carbon sources, such as CH4 and CF4, in addition to nitrogen and oxygen into argon at the time of lamination of a reflection preventing film. CONSTITUTION:The surface low-reflection photomask blank is produced by successively laminating a light shieldable film and the reflection preventing film consisting of a chromium carbide-contg. chromium oxynitride on a light transparent substrate. The reflection preventing film is formed by adding further the gaseous carbon sources in addition to the nitrogen and oxygen into the argon at the time of sputtering of the reflection preventing film in this case. Although the etching rate is lowered in this way, the reflectivity is lowered and the lower reflectivity is easily attained with good reproducibility.

Journal ArticleDOI
TL;DR: In this paper, a variable-shaped e-beam system was used to increase the throughput for writing reticles with a great number of figures, such as 16M-bit DRAMs.
Abstract: Fabrication technologies for advanced photomasks with a molybdenum silicide (MoSi) film have been developed by using a variable‐shaped electron‐beam (e‐beam) system. These technologies were applied to the fabrication of 5X reticles for 16M‐bit DRAMs. The variable‐shaped e‐beam system is very effective in increasing the throughput for writing reticles which have a great number of figures, such as 16M‐bit DRAMs. The average writing time was 100 min, which was ∼ (1)/(3) of the time when using a conventional raster‐scan e‐beam system. Photomasks with the MoSi film have advantages in comparison with those with conventional chromium (Cr) film. Pattern defects did not appear during the photomask cleaning because of strong adhesion of the MoSi film to the quartz substrate. Moreover, an accurate feature size on the photomasks was obtained, because the MoSi film was easily dry etched. The feature size accuracy obtained was 0.03 μm in 3σ all over the 5 in. blanks.

Patent
24 Jan 1990
TL;DR: In this paper, the intrinsic pattern of a body to be processed on a photoresist film, forming a common pattern for the body and performing exposure in conformity with both patterns at the same time, is presented.
Abstract: PURPOSE:To omit an expensive exclusive exposure device and to facilitate maintenance by forming the intrinsic pattern of a body to be processed on a photoresist film, forming a common pattern for the body to be processed on a photoresist and performing exposure in conformity with both patterns at the same time CONSTITUTION:A Cr thin film 2 which is used as the gate electrode of a TFT and a wiring layer is formed on a glass substrate 1 Then, a positive type photoresist film 3 is applied on the Cr thin film 2 After pre-baking, a number image 4 is drawn at an appropriate position on the film 3 by using ultraviolet- ray impermeable ink Thereafter, exposure is performed through a photo mask on which electrodes and wiring patterns are formed by the projection of ultraviolet rays 6 When development is performed, the photoresist at the parts of the TFT pattern 7 and the number image 4 remains Thereafter, etching and separating working of the resist are further performed In this way, a TFT pattern 8 and a number pattern 9 comprising the Cr film can be obtained on the glass substrate

Patent
04 Apr 1990
TL;DR: In this paper, a photomask with both-face patterns with good accuracy was produced by forming resist patterns to the other surface of a substrate having light shieldable patterns on one surface and forming a thin light-shieldable film over the entire surface, then peeling the resist.
Abstract: PURPOSE:To efficiently produce the mask with both-face patterns with good accuracy by forming resist patterns to the other surface of a substrate having light shieldable patterns on one surface and forming a thin light shieldable film over the entire surface, then peeling the resist. CONSTITUTION:The light shieldable patterns 2p are formed on one surface of the light transparent substrate 1 consisting of low thermal expansion glass, etc., and the positive type resist film 3 is laminated as a protective film over the entire surface thereof. The positive type resist film 4 is then formed on the other surface of the substrate 1 and a desired master mask 5 is brought into tight contact with the top surface and the resist is exposed with UV rays 6 and is developed to form the resist patterns 4p. The thin light shieldable film 7 consisting of Cr, etc., is formed over the entire surface inclusive of the patterns 4p and thereafter, the patterns 4p and the film 7 and film 3 thereon are removed. The photomask having the patterns 2p and 7p is thus produced.

Patent
02 May 1990
TL;DR: In this paper, a planar disc is mounted for rotation and a laser beam source is supported at a spaced relationship with respect to the disc, whereby the laser beams scan the surface of the disc.
Abstract: The creation of a photomask corresponding to an image is described utilising a planar disc (10) having at least a portion of its surface formed from a photosensitive material. The disc is mounted for rotation. A laser beam source (18) is supported at a spaced relationship with respect to the disc. One or more laser beams (20) from the source are focused on to the disc (10). The laser source is moved radially with respect to the disc, whereby the laser beams scan the surface of the disc. The beams are modulated in accordance with information corresponding to the image to cause the image to be written on to the photosensitive material on the rotating disc by the laser beam.

Patent
06 Sep 1990
TL;DR: In this article, the flatness of a photomask is measured first and an adjusting means adjusts a large substrate 1 in accordance with the measured value to cope with the warpage and deformation of the photomorphask 2.
Abstract: PURPOSE:To facilitate formation of a uniform high picture quality pattern by a method wherein an adjusting means functions so as to improve a gap accuracy in accordance with the flatness of a photomask measured by a measuring means. CONSTITUTION:The flatness of a photomask 2 is measured first and an adjusting means adjusts a large substrate 1 in accordance with the measured value to cope with the warpage and deformation of the photomask 2. However, the warpage and deformation of the photomask 2 are not always regular and, further, varied from product to product. Therefore, after the state of the flatness of the photomask 2 over the whole surface is measured by a measuring means separately, the flatness of the substrate is adjusted by a flexible chuck 9 provided in the adjusting means, so that even if there is a local deformation in the photomask, the gap accuracy can be maintained steadily.