scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2001"


Patent
05 Mar 2001
TL;DR: In this article, a photomask pattern defect inspecting method for detecting a defect part of a mask by comparing the pattern of the mask with mask drawing data was proposed, where figure pattern data as drawing data and figure pattern arrangement information as drawing position information of the pattern data are included and the figure pattern as the drawing data are processed by the OPC correction.
Abstract: PROBLEM TO BE SOLVED: To provide a method for efficiently inspect a defect of a photomask after OPC correction. SOLUTION: This is a photomask pattern defect inspecting method for detecting a defect part of a photomask by comparing the pattern of a photomask with mask drawing data by a specific inspecting machine; and figure pattern data as drawing data and figure pattern data arrangement information as drawing position information of the figure pattern data are included and the figure pattern data as the drawing data are processed by the OPC correction. The photomask is inspected according to the mask drawing data to previously extract a fine figure pattern, such as a TEG pattern (test structure) of a part other than the OPC-corrected part as a fine figure pattern which should not be detected originally as a defective part, but is frequently detected as a defect part and when the photomask is inspected, the fine figure pattern part which should not be detected as a defect part originally is not regarded as a defect. COPYRIGHT: (C)2002,JPO

156 citations


Journal ArticleDOI
24 Aug 2001-Langmuir
TL;DR: In this paper, a transparency photomask is inserted into the light path of the microscope and projected through the microscope objective onto a photoresist-coated substrate, and features on the order of 1 μm can be produced routinely over the area of sharp focus.
Abstract: This paper demonstrates the application of projection photolithography, using a standard commercial microscope, for the generation of masters for soft lithography. The procedure is rapid and convenient and produces features smaller in size (as small as 0.6 μm) than those available from other methods of rapid prototyping, albeit over a limited area (∼4 × 104 μm2 per exposure). A transparency photomask (prepared using high-resolution printing) is inserted into the light path of the microscope and projected through the microscope objective onto a photoresist-coated substrate. Features on the order of 1 μm can be produced routinely over the area of sharp focus (a circle of radius r ≅ 100 μm with a 100× objective) by this method without modification or precise calibration of the microscope. The microscope platform also provides two other useful functions, both characteristic of commercial steppers: step-and-repeat exposures and pattern alignment. The developed photoresist is used as a master for the fabricati...

142 citations


Patent
Massimiliano Pindo1
13 Dec 2001
TL;DR: In this paper, a method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process is described and the transmittance associated with a photomask is calculated.
Abstract: A method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process is disclosed. The transmittance associated with a photomask is calculated and the etch process for a material formed on a semiconductor manufacturing component is adjusted based on the transmittance calculated for the photomask.

115 citations


Patent
29 Jan 2001
TL;DR: In this paper, a plurality of grating patterns is printed onto a wafer utilizing a photomask having at least one grating, and a 2-dimensional profile description is assigned to each grating pattern based on the profile match.
Abstract: A method and apparatus for determining optical mask corrections for photolithography. A plurality of grating patterns is printed onto a wafer utilizing a photomask having at least one grating. Each grating pattern within the plurality of grating patterns is associated with known photolithographic settings. Each grating pattern is illuminated independently with a light source, so that light is diffracted off each grating pattern. The diffracted light is measured utilizing scatterometry techniques to determine measured diffracted values. The measured diffracted values are compared to values in a library to determine a profile match. A 2-dimensional profile description is assigned to each grating pattern based on the profile match. A database is compiled of the profile descriptions for the plurality of grating patterns. Photomask design rules are then generated by accessing the database containing the 2-dimensional profile descriptions. In preferred embodiments, the design rules are used to create and correct masks containing OPC corrections, phase-shifting mask corrections and binary masks. In a preferred embodiment the at least one grating is a bi-periodic grating. In a preferred embodiment, the scatterometry technique is optical digital profilometry utilizing a reflectometer or ellipsometer.

114 citations


Patent
28 Sep 2001
TL;DR: In this paper, the aligning process to transfer a predetermined pattern to a semiconductor wafer by irradiating a photoresist on the semiconductor Wafer with an aligning laser beam of the modified lighting via a photomask MK was described.
Abstract: On the occasion of the aligning process to transfer a predetermined pattern to a semiconductor wafer by irradiating a photoresist on the semiconductor wafer with an aligning laser beam of the modified lighting via a photomask MK, the photomask MK allocating, to provide periodicity, the main apertures to transfer the predetermined pattern as the apertures formed by removing a part of the half-tone film on the mask substrate and the auxiliary apertures not resolved on the semiconductor wafer as the apertures formed by removing a part of the half-tone film is used to improve the resolution of the pattern

66 citations


Patent
18 Jun 2001
TL;DR: In this article, light-shielding patterns formed of a resist film for integrated circuit pattern transfer are partly provided over a mask substrate constituting a photomask in addition to light shielding patterns consisting of a metal for the integrated circuit patterns transfer.
Abstract: In order to shorten the time required to change or correct a mask pattern over a mask, light-shielding patterns formed of a resist film for integrated circuit pattern transfer are partly provided over a mask substrate constituting a photomask in addition to light-shielding patterns formed of a metal for the integrated circuit pattern transfer

66 citations


Journal ArticleDOI
TL;DR: In this article, the authors developed mask blank multilayer coating processes with low added defect density, such as defect compensation and buffer layer smoothing, to reduce the added defect printability.
Abstract: Extreme ultraviolet lithography (EUVL) is a leading next generation lithography technology. Significant progress has been made in developing mask fabrication processes for EUVL. The mask blank for EUVL consists of a low thermal expansion material substrate having a square photomask form factor that is coated with Mo/Si multilayers. SEMI standards are being developed for mask substrates and mounting. Several commercial suppliers are developing polishing processes for LTEM substrates, and they are progressing toward meeting the requirements for flatness, surface roughness, and defects defined in the a draft SEMI standard. One of the challenges in implementing EUVL is to economically fabricate multilayer-coated mask blanks with no printable defects. Significant progress has been made in developing mask blank multilayer coating processes with low added defect density. Besides lowering the added defect density, methods to reduce defect printability, such as defect compensation and buffer layer smoothing, are b...

57 citations


Journal ArticleDOI
TL;DR: In this paper, a micropatterning of organosilane self-assembled monolayers (SAMs) was demonstrated on the basis of photolithography using an excimer lamp radiating vacuum ultraviolet light of 172 nm wavelength.

53 citations


Journal ArticleDOI
TL;DR: In this paper, a theoretical analysis on the phase error caused by photomask resolution and other basic design parameters is presented, and it is shown that a high resolution (better than 25 nm) is a critical requirement to produce low-crosstalk (less than -30 dB) AWG demultiplexers.
Abstract: The crosstalk performance of an arrayed-waveguide grating (AWG) multiplexer or demultiplexer is primarily caused by random optical phase errors introduced in the arrayed waveguides. Because the layout of waveguides on a wafer is patterned via photomask through the photolithography process, the resolution of a photomask has a direct influence on the phase errors of an AWG. The paper presents a theoretical analysis on the phase error caused by photomask resolution and other basic design parameters. Both calculation and measurement results show that a high-resolution photomask (better than 25 nm) is a critical requirement to produce low-crosstalk (less than -30 dB) AWG demultiplexers. We also investigate the effect of nonideal power distribution in the arrayed waveguides because it contributes considerable phase errors when material impurity is not well controlled during wafer fabrication. Basic criteria of power profile truncation, number of grating waveguides, and material index variation are also summarized.

51 citations


Journal ArticleDOI
TL;DR: In this paper, a low-activation-energy chemically amplified resist based on ketal-protected poly(hydroxystyrene) is proposed for advanced mask-fabrication applications using the 75kV IBM EL4+ vector scan e-beam exposure system.
Abstract: Resists for advanced mask-making with high-voltage electron-beam writing tools have undergone dramatic changes over the last three decades. From PMMA and the other early chain-scission resists for micron dimensions to the aqueous-base-developable, dry-etchable chemically amplified systems being developed today, careful tuning of the chemistry and processing conditions of these resist systems has allowed the patterning of photomasks of increasing complexity containing increasingly finer features. Most recently, our research efforts have been focused on a low-activation-energy chemically amplified resist based on ketal-protected poly(hydroxystyrene). These ketal resist systems, or KRSs, have undergone a series of optimization and evaluation cycles in order to fine-tune their performance for advanced mask-fabrication applications using the 75-kV IBM EL4+ vector scan e-beam exposure system. The experiments have led to an optimized formulation, KRS-XE, that exhibits superior lithographic performance and has a high level of processing robustness. In addition, we describe advanced formulations of KRS-XE incorporating organometallic species, which have shown superior dry-etch resistance to novolak-based resists in the Cr etch process while maintaining excellent lithographic performance. Finally, current challenges facing the implementation of a chemically amplified resist in the photomask manufacturing process are outlined, along with current approaches being pursued to extend the capabilities of KRS technology.

51 citations


Proceedings ArticleDOI
05 Sep 2001
TL;DR: In this article, the authors applied the differential interference contrast method to phase defect inspection for alternating phase shifting mask (Alt-PSM), which is commonly used for Die to Die or Die to Database comparison method.
Abstract: Photomask pattern inspection using transmitted light and/or reflected light is commonly used for Die to Die or Die to Database comparison method. We have applied the differential interference contrast method to phase defect inspection for alternating phase shifting mask (Alt-PSM). The key parameters for optics are resident phase in an interferometer, shearing direction and distance between two spots, which are determined by Nomarski prism design. Firstly, we studied defect image contrast by simulation. Chrome edge defects are more detectable than isolated center defects from the simulation result. Next, we configured a reflective type, differential interference optics using an Ar ion laser as a light source. A test mask having 70-degree phase defects on 520, 600, and 720 nm CD are inspected. Edge defects down to 520nm CD were detectable compared with conventional reflective method.

Patent
28 Nov 2001
TL;DR: In this paper, a high purity silicon oxyfluoride glass suitable for use as a photomask substrate for photolithography applications in the VUV wavelength region below 190 nm is disclosed with the silicon dioxide glass having a preferred fluorine content < 0.5 weight percent.
Abstract: High purity silicon oxyfluoride glass suitable for use as a photomask substrates for photolithography applications in the VUV wavelength region below 190 nm is disclosed with the silicon oxyfluoride glass having a preferred fluorine content < 0.5 weight percent. The inventive silicon oxyfluoride glass is transmissive at wavelengths around 157 nm, making it particularly useful as a photomask substrate at the 157 nm wavelength region. The inventive photomask substrate is a 'dry,' silicon oxyfluoride glass which exhibits very high transmittance in the vacuum ultraviolet (VUV) wavelength region while maintaining the excellent thermal and physical properties generally associated with high purity fused silica. In addition to containing fluorine and having little or no OH content, the inventive silicon oxyfluoride glass suitable for use as a photomask substrate at 157 nm is also characterized by having less than 1x1017 molecules/cm3 of molecular hydrogen and low chlorine levels.

Proceedings ArticleDOI
14 Sep 2001
TL;DR: The Virtual StepperTM system as mentioned in this paper is a software solution for defect printability analysis based on state-of-the-art simulation techniques for advanced masks production using OPC and PSM.
Abstract: Sub-wavelength lithography requires knowledgeable application of resolution enhancement techniques (RETs) such as optical proximity correction (OPC) and phase shift mask (PSM). Use of RETs, in turn, requires that new photomask specifications and special requirements for mask defect printability be taken into consideration. This is especially true, as the photomask's critical dimensions become more aggressive (400 nm moving toward 300 nm). Traditionally, mask defect analysis and subsequent defect disposition has been accomplished by first performing automated reticle inspection, and then by visual inspection ultimately dependent on operator judgement. As the semiconductor industry moves to more challenging process generations this methodology is no longer viable for assessing the impact of a defect on the printed wafer. New techniques for more accurate, production-worthy defect printability analysis and defect disposition procedures are required. Developed at Numerical Technologies, Inc. is the Virtual StepperTM System that offers a fast, accurate software solution for defect printability analysis based on state-of- the-art lithography simulation techniques for advanced masks production using OPC and PSM. The newly developed Virtual Stepper System feature, Automatic Defect Severity Scoring (ADSS) provides fully automated and accurate defect impact analysis capability by calculating a consistent Defect Severity Score (DSS) for each defect detected by an inspection tool. DSS is an overall score that quantifies the impact of a given defect on surrounding features and can be used as a comprehensive indicator of defect printability. Taken into consideration, are not only printing defects, but defects which cause critical dimension (CD) errors altering a given process window.

Patent
26 Apr 2001
TL;DR: In this paper, a blank for halftone phase shift photomask was disclosed, and the blank has a transparent substrate, a light shielding film, the halftones phase shift layer and the light shielding layer being layered in this order on the transparent substrate and the l light shielding is a single layered or multiple layered film which has a layer of tantalum.
Abstract: A blank for halftone phase shift photomask is disclosed. The blank has a transparent substrate, a halftone phase shift layer and a light shielding film, the halftone phase shift layer and the light shielding film being layered in this order on the transparent substrate, and the l light shielding film is a single layered or multiple layered film which has a layer of tantalum.

Proceedings ArticleDOI
TL;DR: In this article, the authors designed and fabricated a 2048x512 pixel UV-SLM with individually addressable aluminum micromirrors, which can be used for direct writing systems for semiconductor and printing, and UV stimulated biochemistry.
Abstract: Modern UV-lithography is searching for new highly parallel writing concepts. Spatial light modulation (SLM) offers such possibilities but special emphasis must be put on the ability of SLM devices to handle ultraviolet light (UV). We designed and fabricated micromirror arrays which fulfill these requirements. Possible applications for such UV-SLMs are direct writing systems for semiconductor and printing, and UV-stimulated biochemistry. For deep UV laser pattern generation (248 nm) e.g. we designed and fabricated a 2048x512 pixel UV-SLM with individually addressable aluminum micromirrors. They are illuminated by an excimer laser pulse and imaged onto a photomask substrate. A complete pattern is stitched together at a rate of 1 kHz. The minimum feature size is 320 nm and analog modulation of the pixels allows to realize an address grid of only 1.6 nm. The design of the array is modular so that other array sizes can be tailor made to customers needs. Design and fabrication aspects for a CMOS compatible realization of these micromirror arrays are addressed as well as their performance in lithography applications.© (2001) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
Xiaoming Chen1, Charles H. Howard1, Franklin D. Kalk1, Kong Son1, Paul S. Chipman1 
20 Nov 2001
TL;DR: In this paper, the runability of a photomask inspection tool that inspects plural sets of die, each die having a standard simulated industrial device feature at plural technology nodes, is evaluated.
Abstract: A method and apparatus evaluates the runability of a photomask inspection tool that inspects plural sets of die, each die having a standard simulated industrial device feature at plural technology nodes. A technology node size is determined for each feature at which inspection by the tool provides no false detection of faults. A sensitivity module included on a photomask test plate along with a runability module allows determination of inspection tool sensitivity and runability in a single test sequence.

Patent
Takashi Sato1, Inoue Soichi1
07 Jun 2001
TL;DR: In this paper, an alignment mark and first and second overlay deviation inspection marks as well as a device pattern are successively formed on a wafer using a first photomask and a second one.
Abstract: When an alignment mark and first and second overlay deviation inspection marks as well as a device pattern are successively formed on a wafer using a first photomask and a second photomask, each of the alignment mark and the overlay deviation inspection marks are formed to have a part of the device pattern or marks having sizes and shapes similar to those of the device pattern, whereby these marks receive a deviation error caused by the influence given by the aberration of the light projection optical lens used for performing the pattern transfer and an error in the following processing steps in substantially the same degree as the device pattern, and an amount of the overlay deviation error is measured correctly so as to achieve an alignment of the photomasks in a high accuracy.

Patent
30 Jul 2001
TL;DR: In this article, a subresolution grating composed of approximately circular contacts is fabricated around the border of the primary pattern of a photomask to improve the resolution at the edges of the pattern.
Abstract: A subresolution grating composed of approximately circular contacts is fabricated around the border of the primary pattern of a photomask. As a result, resolution at the edges of the photomask pattern is improved when the pattern is printed on a wafer surface. In addition, the reduced leakage enables a more efficient use of the glass plate on which the photomask is fabricated as well as a more efficient use of the wafer surface as a result of being able to place patterns closer together.

Patent
23 Mar 2001
TL;DR: A conductive blank enables election beam (e-beam) patterning rather than optical patterning for the phase level etch of a phase-shifting mask (PSM) photomask.
Abstract: A conductive blank enables election beam (e-beam) patterning rather than optical patterning for the phase level etch of a phase-shifting mask (PSM) photomask The conductive blank includes a conductive layer between a chrome (pattern) layer and a quartz substrate The chrome layer is patterned with in-phase and phased features, and then is recoated with a resist layer An e-beam exposure tool exposes the resist layer over the phased features The still intact conductive layer under the chrome layer dissipates any charge buildup in the resist layer during this process A phase level etch then etches through the conductive layer and creates a pocket in the quartz A subsequent isotropic etch through both the in-phase and phased features removes the conductive layer at the in-phase features and improves exposure radiation transmission intensity Alternatively, a visually transparent conductive layer can be used, eliminating the need to etch through the in-phase features

Patent
15 Feb 2001
TL;DR: In this paper, a phase shift mask blank is defined as a multilayer film consisting of at least four layers of different compositions, wherein the interface between the layers is moderately graded in composition.
Abstract: A photomask blank comprising a multilayer film including at least four layers of different compositions, wherein the interface between the layers is moderately graded in composition; a phase shift mask blank comprising a phase shift film of at least two layers including a surface layer of a composition based on a zirconium silicide compound and a substrate adjacent layer of a composition based on a molybdenum silicide compound, and a further layer between one layer and another layer of a different composition, the further layer having a composition moderately graded from that of the one layer to that of the other layer; a phase shift mask blank comprising a phase shift film including a plurality of layers containing a metal and silicon in different compositional ratios which are stacked in such order that a layer having a higher etching rate is on the substrate side and a layer having a lower etching rate is on the surface side. The invention provides a photomask blank, typically a phase shift mask blank, which satisfies optical properties such as transmittance, reflectance and refractive index at an exposure wavelength of interest, and has an etched pattern with a minimal line edge roughness, and a photomask, typically a phase shift mask obtained therefrom.

Proceedings ArticleDOI
05 Sep 2001
TL;DR: In this paper, the authors used the Virtual Stepper System with its newly developed automated defect severity scoring (ADSS) function to predict the printability of binary OPC masks.
Abstract: As Optical Proximity Correction (OPC0 and Phase Shifting (PSM) become more and more commonly used for producing smaller features on wafer, the photomask (reticle) manufacturing, that is mask writing, inspection and repairing, and quality assurance become more challenging for both mask shops and wafer fabs. Consequently, a powerful defect analysis tool is needed to determine which defect is a nuisance defect, which defect needs to be repaired, and how good is the repair. It should have the capability for defect printability prediction and analysis of defect impact on device performance. In this paper, we will study and characterize the printability prediction of programmed defects on binary OPC masks by the Virtual Stepper System with its newly developed Automated Defect Severity Scoring (ADSS) function. AMD's defect test reticles HellOPC2 were used. The Virtual Stepper simulation and defect impact analysis results (the automatically calculated Defect Severity Score) will be compared to the SEM images and measurements of wafer prints using 193nm lithography. The results demonstrate that the Virtual Stepper System with its ADSS feature can provide its user with an automate, fast and accurate way of analyzing the impact of a defect. The Virtual Stepper System with ADSS function will be a suitable tool for photomask defect critically assessment in mask shops and wafer fabs.

Patent
23 Jul 2001
TL;DR: In this paper, an organic antireflection coating was applied over a surface of a photomask which included a chrome-containing layer, and a chemically-amplified DUV photoresist over the organic antireslection coating.
Abstract: One principal embodiment of the disclosure pertains to a method of optically fabricating a photomask using a direct write continuous wave laser, comprising a series of steps including: applying an organic antireflection coating over a surface of a photomask which includes a chrome-containing layer; applying a chemically-amplified DUV photoresist over the organic antireflection coating; post apply baking the DUV photoresist over a specific temperature range; exposing a surface of the DUV photoresist to the direct write continuous wave laser; and, post exposure baking the imaged DUV photoresist over a specific temperature range. The direct write continuous wave laser preferably operates at a wavelength of 244 nm or 257 nm. In an alternative embodiment, the organic antireflection coating may be applied over an inorganic antireflection coating which overlies the chrome containing layer.

Proceedings ArticleDOI
22 Jun 2001
TL;DR: New types of layout design constraints needed to effectively leverage advanced optical wafter lithography techniques are described, dictated by the physics of advanced lithography processes, while other constraints are imposed by new photomask techniques.
Abstract: In this paper, we describe new types of layout design constraints needed to effectively leverage advanced optical wafer lithography techniques. Most of these constraints are dictated by the physics of advanced lithography processes, while other constraints are imposed by new photomask techniques. Among the methods discussed are (1) phase shift mask (PSM) lithography in which phase information is placed on the photomask in combination with conventional clear and dark information; (2) optical proximity correction (OPC) where predictable distortions in feature geometry are corrected by putting an inverse distortion on the mask; (3) off-axis illumination optics that improve resolution of some configurations at the expense of others; and (4) use of non-resolving assist features that improve neighboring structures.

Patent
Sato Kazuya1, Soichi Inoue1
15 Feb 2001
TL;DR: In this article, a diffraction grating pattern is used to transfer diffraction light from a photomask to a projection optical system, where a pattern is formed of an optical member including a light transmission pattern.
Abstract: Light emitted from an illumination optical system is guided to a photomask where a pattern is formed of an optical member including a light transmission pattern as a diffraction grating pattern, in which a light transmission part and a opaque part are repeated in a finite period and a periphery of the light transmission pattern is shielded by a opaque area, such that a plurality of ratios are given between the light transmission part and the opaque part. Diffraction light, which has passed through the photomask, is irradiated on a projection optical system, thereby to transfer a pattern reflecting an intensity distribution of the diffraction light to a wafer. A change of transmittance depending on a light path of the projection optical system is measured, based on a pattern image of the diffraction light transferred to the wafer. Pattern transfer is carried out in a non-conjugate state.

Patent
15 Dec 2001
TL;DR: In this article, a method of manufacturing for a MirrorBit® Flash memory includes depositing a charge-trapping material over a semiconductor substrate and implanting first and second bitlines in the semiconductor substrates.
Abstract: A method of manufacturing for a MirrorBit® Flash memory includes depositing a charge-trapping material over a semiconductor substrate and implanting first and second bitlines in the semiconductor substrate A wordline material is deposited over the charge-trapping dielectric material and a hard mask material deposited thereon An anti-reflective coating (ARC) material is deposited on the hard mask material and a photoresist material is deposited on the ARC followed by processing the photoresist material and the ARC material to form a photomask of a patterned photoresist and a patterned ARC The hard mask material is processed using the photomask to form a hard mask The patterned photoresist is removed and then the patterned ARC without damaging the hard mask or the wordline material The wordline material is processed using the hard mask to form a wordline and the hard mask is removed without damaging the wordline or the charge-trapping material

Patent
David Ziger1
15 Jun 2001
TL;DR: In this paper, a photomask is partitioned into a plurality of regions and a critical dimension is measured for each of the regions in the photomasks, based on the measured critical dimensions, a deviation map is generated to map deviation of the critical dimension from a target dimension.
Abstract: The present invention provides an apparatus and a method for compensating critical dimension deviations across a photomask. In this method, a photomask is partitioned into a plurality of regions. A critical dimension is then measured for each of the regions in the photomask. Based on the measured critical dimensions, a deviation map is generated to map deviation of the critical dimension from a target dimension for each of the regions in the photomask. From the deviation map, an amount of actinic radiation needed to be attenuated to compensate for the critical dimension deviation from the target dimension is determined for each of the regions of the photomask. Based on the determined attenuation amount of actinic radiation, the transmission of the actinic radiation through each of the regions in the photomask is attenuated such that the critical dimension deviation is compensated to the target dimension for each of the regions in the photomask.

Patent
01 Jun 2001
TL;DR: In this paper, a method for inspecting a photomask, comprising generating a laser beam, changing a phase of the laser beam to smooth the brightness distribution of the beam, applying the smoothed beam to the mask, acquiring an image of the mask using a sensor, examining the image for a defect of mask pattern, was proposed.
Abstract: A method for inspecting a photomask, comprising generating a laser beam, changing a phase of the laser beam to smooth the brightness distribution of the laser beam, applying the smoothed laser beam to the photomask, acquiring an image of the photomask using a sensor while the laser beam and the photomask are relatively moved, examining the image of the photomask for a defect of the mask-pattern of the photomask.

Patent
23 Aug 2001
TL;DR: In this paper, a glass lithography mask preform is presented, which is a longitudinal silicon oxyfluoride glass tube that has an OH content of 10 ppm, a F wt. % concentration ≧ 0.5 wt %, and a planar surface.
Abstract: The present invention is a method of making a lithography photomask and photomask blank. The method of making the lithography photomask and photomask blank includes providing a silicon oxyfluoride glass tube having an OH content less than 50 ppm. The method further includes cutting the silicon oxyfluoride glass tube, flattening the silicon oxyfluoride glass tube, and forming the flattened cut silicon oxyfluoride glass tube into a photomask blank having a planar surface. The present invention includes a glass lithography mask preform. The glass lithography mask preform is a longitudinal silicon oxyfluoride glass tube that has an OH content ≦10 ppm, a F wt. % concentration ≧0.5 wt. %.

Patent
27 Feb 2001
TL;DR: In this article, an exposure apparatus exposes a substrate for production of a working reticle through a master reticle on which a pattern is formed, and three support members for supporting the substrate substantially horizontally at three locations outside illuminated areas.
Abstract: An exposure apparatus exposes, for example, a substrate for production of a working reticle through a master reticle on which a pattern is formed. The apparatus is provided with three support members for supporting the substrate substantially horizontally at three locations outside illuminated areas of the substrate, e.g., a pattern area, alignment mark areas, and information mark areas.

Patent
24 Apr 2001
TL;DR: In this article, a high purity direct deposit vitrified silicon oxyfluoride glass suitable for use as a photomask substrates for photolithography applications in the VUV wavelength region below 190 nm is disclosed.
Abstract: High purity direct deposit vitrified silicon oxyfluoride glass suitable for use as a photomask substrates for photolithography applications in the VUV wavelength region below 190 nm is disclosed. The inventive direct deposit vitrified silicon oxyfluoride glass is transmissive at wavelengths around 157 nm, making it particularly useful as a photomask substrate at the 157 nm wavelength region. The inventive photomask substrate is a dry direct deposit vitrified silicon oxyfluoride glass which exhibits very high transmittance in the vacuum ultraviolet (VUV) wavelength region while maintaining the excellent thermal and physical properties generally associated with high purity fused silica. In addition to containing fluorine and having little or no OH content, the inventive direct deposit vitrified silicon oxyfluoride glass suitable for use as a photomask substrate at 157 nm is also characterized by having less than 1×1017 molecules/cm3 of molecular hydrogen and low chlorine levels.