scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2002"


Journal ArticleDOI
TL;DR: In this paper, the SU-8 50 negative photoresist (PR) was used for fabricating ultra-thick microfluidic devices using standard UV lithography.
Abstract: In this paper we describe a new process for fabricating ultra-thick microfluidic devices utilizing SU-8 50 negative photoresist (PR) by standard UV lithography. Instead of using a conventional spin coater, a simple 'constant-volume-injection' method is used to create a thick SU-8 PR film up to 1.5 mm with a single coating. The SU-8 PR is self-planarized during the modified soft-baking process and forms a highly-uniform surface without any edge bead effect, which commonly occurs while using a spin coater. Photomasks can be in close contact with the PR and a better lithographic image can be generated. Experimental data show that the average thickness is 494.32 ± 17.13 μm for a 500 μm thick film (n = 7) and the uniformity is less than 3.1% over a 10 × 10 cm2 area. In this study, the temperatures for the soft-baking process and post-exposure baking are 120 °C and 60 °C, respectively. These proved to be capable of reducing the processing time and of obtaining a better pattern definition of the SU-8 structures. We also report on an innovative photomask design for fabricating ultra-deep trenches, which prevents the structures from cracking and distorting during developing and hard-baking processes. In this paper, two microfluidic structures have been demonstrated using the developed novel methods, including a micronozzle for thruster applications and a microfluidic device with micropost arrays for bioanalytical applications.

315 citations


Patent
Shinn-Sheng Yu1
15 Jan 2002
TL;DR: In this paper, a common process window for optical proximity correction (OPC)-modified features of a semiconductor design having varying pitch is disclosed, and a modified layout for the semiconductor photomask is further modified by performing model-based on the modified layout such that exposed semiconductor wafer CD's at each pitch are at least substantially equal to the CD specification for the pitch.
Abstract: Maximizing a common process window for optical proximity correction (OPC)-modified features of a semiconductor design having varying pitch is disclosed. For each pitch within a semiconductor design, a bias needed at the pitch that maximizes a common process window for the number of pitches given a critical dimension (CD) specification for a semiconductor design of the photomask is determined. The original layout for the semiconductor design of the photomask is then modified by performing rule-based optical-proximity correction (OPC), including adding the bias determined at each pitch, to yield a modified layout for the semiconductor design of the photomask. The modified layout is further modified by performing model-based on the modified layout such that exposed semiconductor wafer CD's at each pitch are at least substantially equal to the CD specification for the pitch, to yield a final layout for the semiconductor design of the photomask.

167 citations


Patent
25 Jun 2002
TL;DR: In this article, a non-rigid photomask is retained in a rigid, optically transparent holder that enables the photomasks to be handled as a rigid structure, and serial numbers are created onto wafer dies using a combined process involving photolithography, and a reactive ion etching process with a selective etch rate.
Abstract: A serialization process presents an efficient method of creating serial numbers on a ceramic-like semiconductor wafer by forming a non-rigid photomask that incorporates character specifications for the serial numbers. The non-rigid photomask is retained in a rigid, optically transparent photomask holder that enables the photomask to be handled as a rigid structure. Upon preparation of the wafer, the serial numbers are created onto wafer dies using a combined process involving photolithography, and a reactive ion etching process with a selective etch rate. The serialization process enables a rapid creation of serial numbers, with the selective RIE process substantially increasing the optical contrast of the characters without the need for deep trenches and without generation of excessive debris.

155 citations


Journal ArticleDOI
TL;DR: An approach for microfabrication that encodes the two-dimensional spatial information of several photomasks onto a single elastomeric stamp by mapping each photomask onto distinct heights on the surface of the stamp.
Abstract: The fabrication of complex patterns of aligned microstructures has required the use of multiple applications of lithography. Here we describe an approach for microfabrication that encodes the two-dimensional spatial information of several photomasks onto a single elastomeric stamp by mapping each photomask onto distinct heights on the surface of the stamp. Pressing the stamp against a surface collapses the topography of the stamp such that each recessed layer contacts the surface in stepwise sequence; the greater the applied pressure, the larger the area of the stamp that contacts the surface. After contact of each new layer with the surface, we use techniques of soft lithography (microcontact printing, microfluidics, and patterning through membranes) to pattern the surfaces that contact the stamp and those that do not with inorganic, organic, or living materials. Microfabrication through the use of multilevel stamps provides a promising alternative to conventional lithography for the construction of multicomponent, aligned surfaces; these structures may find use as components of microfluidic devices or biological patterns.

153 citations


Patent
07 Jan 2002
TL;DR: In this paper, a method for pitch reduction using photolithography technologies was proposed, which can form a pattern with a pitch ⅓ the original pitch formed by available photolithographic technologies by only using one photo mask or one pattern transfer process.
Abstract: A method for pitch reduction is disclosed. The method can form a pattern with a pitch ⅓ the original pitch formed by available photolithography technologies by only using one photo mask or one pattern transfer process, self-aligned etching back processes, and conventional deposition processes. By choosing appropriate layers to be deposited and etched, the pattern can be an etching mask or it can be a device structure itself.

143 citations


Journal ArticleDOI
TL;DR: Wang et al. as mentioned in this paper employed glycerol as an index match material for bridging air gap between photomask and photoresist during exposure, which greatly increased the sidewall straightness of high-aspect-ratio resist structures.
Abstract: This paper reports a novel way to compensate the air gap between photomask and photoresist for eliminating UV light diffraction on photoresist, which greatly increases the sidewall straightness of high-aspect-ratio resist structures. In this research, SU-8 negative tone photoresist was used for experiments, and glycerol was employed as an index match material for bridging air gap between photomask and photoresist during exposure. Results showed that a high aspect ratio wall structure of 156 μm thick and 25 μm wide had a 45% pattern width error when exposed under 100 μm air gap, while glycerol compensated process accomplished a straight resist wall without appreciable error. This method is simple and cheap to employ, compared to the usage of costly thick-photoresist-film spinner for resist planarization. Numerical simulation on the diffraction effect upon the structure wall has also been conducted. The calculated and experiment wall profiles showed similarity in trend.

130 citations


Journal ArticleDOI
05 Nov 2002-Langmuir
TL;DR: In this paper, a novel technique for solid surface patterning is developed on the basis of the remote oxidation effect of TiO2 photocatalysts, which is based on the contrasts of nonoxidized to oxidized surfaces.
Abstract: A novel technique for solid surface patterning is developed on the basis of the remote oxidation effect of TiO2 photocatalysts. A TiO2-coated quartz plate was faced to a solid substrate, that is, a glass plate modified with an ultrathin organic layer or silicon, copper, or silver plate, separated by a small gap, and the TiO2 was irradiated with UV light in air through a photomask. As a result, two-dimensional images corresponding to the photomask are obtained. Those images are based on the contrasts of nonoxidized to oxidized surfaces.

80 citations


Journal ArticleDOI
TL;DR: The feasibility of using hydrogen silsesquioxane (HSQ) to directly pattern the relief layer of step and flash imprint lithography (SFIL) templates has been successfully demonstrated as mentioned in this paper.
Abstract: The feasibility of using hydrogen silsesquioxane (HSQ) to directly pattern the relief layer of step and flash imprint lithography (SFIL) templates has been successfully demonstrated. HSQ is a spin-coatable oxide, which is capable of high resolution electron-beam lithography. Negative acting and nonchemically amplified, HSQ has moderate electron-beam sensitivity and excellent processing latitude. In this novel approach, 6 ×6 × 0.25 in.3 quartz photomask substrates are coated with a 60 nm indium tin oxide (ITO) charge dissipation layer and directly electron-beam written using a 100 nm film of HSQ. Direct patterning of an oxide relief layer eliminates the problems of critical dimension control associated with both chromium and oxide etches, both required processes of previous template fabrication schemes. Resolution of isolated and semidense lines of 30 nm has been demonstrated on imprinted wafers using this type of template. During this evaluation, a failure of the release layer to provide a durable nonstic...

70 citations


Journal ArticleDOI
TL;DR: In this paper, a large-scale aligned carbon nanofiber/nano-tube pattern has been fabricated by a feasible and inexpensive direct photolithography technique using ordinary black-and-white film as a photomask.
Abstract: Large-scale aligned carbon nanofiber/nano-tube patterns have been fabricated by a feasible and inexpensive direct photolithography technique using ordinary black-and-white film as a photomask. Using a calcination/reduction protocol, transition metal salts in the photoresist are transformed to metallic dots, which act as catalysts for the growth of aligned carbon nanotubes (see Figure) by acetylene pyrolysis.

70 citations


Journal ArticleDOI
TL;DR: In this article, an integrated methodology was developed for computer simulation of electromagnetic scattering from large, nonperiodic, two-dimensional layouts of advanced photomasks (masks with optical prox- imity correction and phase-shifting masks).
Abstract: An integrated methodology has been developed for computer simulation of electromagnetic scattering from large, nonperiodic, two- dimensional layouts of advanced photomasks (masks with optical prox- imity correction and phase-shifting masks). The domain decomposition method consists of three steps: First, by virtue of the linearity of the Kirchhoff-Fresnel diffraction integral, the mask layout is decomposed into a set of constituent single-opening masks. Second, the rigorous electromagnetic simulation of each three-dimensional structure from the set of these single-opening masks is circumvented and, instead, the re- sult for the scattered field is synthesized based on two two-dimensional rigorous electromagnetic simulations that model the mask geometry in two cross-sectional planes. Subsequently, compact equivalent source models are used to describe the scattered fields on a reference plane. These models are constructed in such a way as to minimize the error in the part of the diffraction spectrum that passes through the projection system, allowing accurate and efficient image simulation. The normal- ized mean square error of the near scattered field is typically a fraction of 1% and speed-up factors for the total simulation time in excess of 400 (compared with the rigorous mask model) are achieved. The use of a look-up table approach facilitates orders of magnitude of further speed improvement. © 2002 Society of Photo-Optical Instrumentation Engineers.

60 citations


Patent
30 May 2002
TL;DR: In this paper, a range of zinc silicate glass compositions is found to produce high energy beam sensitive glass (HEBS-glass) that possesses the essential properties of a true gray level mask which is necessary for the fabrication of general three dimensional microstructures with one optical exposure in a conventional photolithographic process.
Abstract: A narrowly defined range of zinc silicate glass compositions is found to produce High Energy Beam Sensitive-glass (HEBS-glass) that possesses the essential properties of a true gray level mask which is necessary for the fabrication of general three dimensional microstructures with one optical exposure in a conventional photolithographic process. The essential properties are (1) A mask pattern or image is grainiless even when observed under optical microscope at 1000× or at higher magnifications. (2) The HEBS-glass is insensitive and/or inert to photons in the spectral ranges employed in photolithographic processes, and is also insensitive and/or inert to visible spectral range of light so that a HEBS-glass mask blank and a HEBS-glass mask are permanently stable under room lighting conditions. (3) The HEBS-glass is sufficiently sensitive to electron beam exposure, so that the cost of making a mask using an e-beam writer is affordable for at least certain applications. (4) The e-beam induced optical density is a unique function of, and is a very reproducible function of electron dosages for one or more combinations of the parameters of an e-beam writer. The parameters of e-beam writers include beam acceleration voltage, beam current, beam spot size, addressing grid size and number of retraces. A method of fabricating three-dimensional microstructures using HEBS-glass gray scale photomask for three dimensional profiling of photoresist and reproducing the photoresist replica in the substrate with the existing microfabrication methods normally used for the production of microelectronics is described.

Patent
16 Jul 2002
TL;DR: In this paper, a photomask producing method is presented, which segments a parent pattern which is an α-magnification of an original pattern into α lengthwise and breadthwise, thereby forming parent patterns on data.
Abstract: A photomask producing method according to the present invention segments a parent pattern which is an α-magnification of an original pattern which is a β-magnification of a circuit pattern into α lengthwise and breadthwise, thereby forming parent patterns on data. The parent patterns are written on a substrate at equal magnification by using an electron beam lithography system, thereby producing master reticles. Reduced images of the parent patterns of the master reticles are transferred on a substrate while performing screen linking, thereby producing working reticle. This photomask producing method can form an original pattern with a high precision and in a short period of time.

Journal ArticleDOI
TL;DR: In this article, the effect of front-side and rear-side laser writing techniques on the feature size and edge quality of a feature was investigated for the patterning of masks.
Abstract: Photomasks are the backbone of microfabrication industries. Currently they are fabricated by a lithographic process, which is very expensive and time consuming since it is a multi-step process. These issues can be addressed by fabricating photomasks by direct femtosecond laser writing, which is a single-step process and comparatively cheaper and faster than lithography. In this paper we discuss our investigations on the effect of two types of laser writing techniques, namely front- and rear-side laser writing, with regard to the feature size and the edge quality of a feature. It is proved conclusively that for the patterning of masks, front-side laser writing is a better technique than rear-side laser writing with regard to smaller feature size and better edge quality. Moreover the energy required for front-side laser writing is considerably lower than that for rear-side laser writing.

Proceedings ArticleDOI
Scott Daniel Hector1
01 Jul 2002
TL;DR: Significant progress has been made in developing mask fabrication processes for extreme ultraviolet lithography (EUVL) masks as mentioned in this paper, which consists of a low thermal expansion material substrate having a square photomask form factor that is coated with Mo/Si multilayers.
Abstract: Significant progress has been made in developing mask fabrication processes for extreme ultraviolet lithography (EUVL). The mask blank for EUVL consists of a low thermal expansion material substrate having a square photomask form factor that is coated with Mo/Si multilayers. A SEMI standard is now available for mask substrates. SEMI standards are also being developed for mask mounting, for mask blank multilayers and absorbers and for mask handling and storage. Several commercial suppliers are developing polishing processes for LTEM substrates, and they are progressing toward meeting the requirements for flatness, surface roughness, and defects. Significant progress has been made in developing mask blank multilayer coating processes with low added defect density. Besides lowering added defect density, methods to reduce defect printability are being developed to effectively enable repair of many defect types. Calculations of EUVL mask cost indicate that defect repair processes could increase yield of EUV mask blanks and allow initial defect density targets for mask blanks to be relaxed. The mask patterning process for EUVL is nearly the same as that for conventional binary optical lithography masks. Eight absorbers have been evaluated, and two absorbers-TaN and Cr--will probably meet the requirements after some further development.

Patent
Bruce W. Smith1
08 Mar 2002
TL;DR: A masking aperture has a dithered pattern of pixels as discussed by the authors, which defines one or more zones of illumination, which are patterned in accordance with a selected wavelength of incident light to diffract the incident light into an illumination pattern.
Abstract: A masking aperture for a photomask illumination system provides controlled on-axis and off-axis illumination. The masking aperture has a dithered pattern of pixels. The intensity of the pattern controls the illumination of the photomask. The masking aperture pattern defines one or more zones of illumination. Zones comprise elements that are patterned in accordance with a selected wavelength of incident light to diffract the incident light into an illumination pattern for illuminating a photomask. Each of the elements is constructed with a matrix of pixels. In the preferred embodiment the array of pixels is 8×8. The number of elements is generally greater than 3×3.

Patent
11 Dec 2002
TL;DR: A light emitting device includes a substrate, a textured layer over the substrate, at least one III-nitride layer overlying the textured layers, and a substantially planar light emitting region.
Abstract: A light emitting device includes a substrate, a textured layer overlying the substrate, at least one III-nitride layer overlying the textured layer, and a substantially planar light emitting region. Devices incorporating scattering layers may be formed by several different methods. In a first method, an epitaxial layer is deposited then etched to form the textured layer. In a second method, a photomask is deposited and patterned to create openings in the photomask. The textured layer is then preferentially deposited in the openings formed in the photomask. In a third method, the textured layer is deposited under conditions that favor three-dimensional growth, then optionally annealed.

Patent
12 Feb 2002
TL;DR: In this article, a photomask pattern is constituted by containing nanoparticles such as carbon in an organic film such as a photoresist film, which is transferred to a semiconductor wafer by means of the reduction projection exposure using the photomasks.
Abstract: To develop a small quantity of various kinds of semiconductor devices in a short time and to realize a photomask suitable to be manufactured at a low cost A shade pattern of a photomask is constituted by containing nanoparticles such as carbon in an organic film such as a photoresist film A pattern is transferred to a photoresist on a semiconductor wafer by means of the reduction projection exposure using the photomask At the time of the above exposure, it is possible to select exposure light within a range of wide wavelengths including i-line, KrF excimer laser beam, ArF excimer laser beam, or the like

Proceedings ArticleDOI
Linyong Pang, Zongchang Yu1, Gerard T. Luk-Pat, Jerry X. Chen2, William Volk1 
24 Dec 2002
TL;DR: For alternating aperture phase shift masks (AAPSM) and 193 nm (ArF) lithography, the authors have simulated defect printability using inspection images and software-based modeling.
Abstract: For alternating aperture phase shift masks (AAPSM) and 193 nm (ArF) lithography, we have simulated defect printability using inspection images and software-based modeling. Masks were fabricated by DuPont Photomasks with programmed defects of known size, phase, and location. Three phase layers were used to generate defect angles 60, 120 and 180 degrees. Simulated wafer prints were performed using Numerical Technologies’ Virtual Stepper System, which takes inspection images as input and models the lithographic process. With inspection images from KLA-Tencor’s SLF27 system, our critical-dimension measurements show good agreement with those from wafers printed on an ASML PAS 5500/900 scanner.

Patent
Satoshi Tanaka1, Soichi Inoue1
03 Dec 2002
TL;DR: In this paper, a computer implemented method for correcting a mask pattern is described, which includes: preparing a designed mask pattern, obtaining a rough corrected mask pattern from the original mask pattern by applying a rough correction, and obtaining a precision corrected mask mask pattern using a model based correction method with a precision model that simulates a transferred image of an exposure apparatus.
Abstract: A computer implemented method for correcting a mask pattern, includes: preparing a designed mask pattern; obtaining a rough corrected mask pattern from the designed mask pattern by applying a rough correction; and obtaining a precision corrected mask pattern from the rough corrected mask pattern by applying a precision correction using a model based correction method with a precision model that simulates a transferred image of an exposure apparatus.

Patent
Pei-Yang Yan1
11 Jul 2002
TL;DR: A phtolithography mask for use with extreme ultraviolet lithography (EUVL) irradiation is described in this paper, which consists of a multilayer stack that is substantially reflective of said EUV irradiation and an absorber material formed in trenches patterned into the supplement layer.
Abstract: A phtolithography mask for use with extreme ultraviolet lithography (EUVL) irradiation is disclosed. The mask comprises a multilayer stack that is substantially reflective of said EUV irradiation, a supplemental multilayer stack formed atop the multilayer stack, and an absorber material formed in trenches patterned into the supplemental multilayer stack. The absorber material being substantially absorptive EUV irradiation.

Patent
Chin-Hsiang Lin1
04 Dec 2002
TL;DR: In this article, a method for forming an arbitrary pattern of sub-micron contact holes in a substrate using a combination of interferometric photolithography with a non-critical mask was proposed.
Abstract: A method for forming an arbitrary pattern of sub-micron contact holes in a substrate using a combination of interferometric photolithography and optical photolithography with a non-critical mask. The substrate is covered with a photosensitive material and is exposed by a standing wave interference pattern produced by the superposition of two coherent laser beams. Then the substrate is rotated through 90° and exposed by the same pattern. The double exposure produces a regular array of sub-micron unexposed regions which are all potentially holes if developed. The photosensitive material is then covered by a non-critical photomask and a standard light source is used to exposed those areas of the photosensitive material containing unwanted holes. Upon final development, the desired pattern is obtained.

Patent
30 Jul 2002
TL;DR: In this article, the rewiring formation process of a WLCSP is described, where standard portions are formed by development following photomask exposure, and portions that are to be designed corresponding to customer specifications are subjected to additional development following additional maskless exposure in the final stage.
Abstract: In the re-wiring formation process of a WLCSP, at least some of the re-wiring lines 3 that connect the bonding pads 1 and bump pads 2 of the semiconductor chips are formed using a photolithographic process that does not use a photomask. In this re-wiring formation process, standard portions are formed by development following photomask exposure, and portions that are to be designed corresponding to customer specifications are subjected to additional development following additional maskless exposure in the final stage.

Patent
22 May 2002
TL;DR: In this article, a fabrication method of a semiconductor integrated circuit device, which comprises properly using a photomask having light blocking patterns made of a metal and another photOMask having a resist film upon exposure treatment, depending on the fabrication step of the semiconductor Integrated Circuit device, is presented.
Abstract: Provided is a fabrication method of a semiconductor integrated circuit device, which comprises properly using a photomask having light blocking patterns made of a metal and another photomask having light blocking patterns made of a resist film upon exposure treatment, depending on the fabrication step of the semiconductor integrated circuit device. According to the present invention, the productivity of the semiconductor integrated circuit device can be improved.

Patent
12 Dec 2002
TL;DR: In this paper, a multi-tone photomask and method for manufacturing the same are disclosed, which includes a filter layer consisting of a first pattern formed by a first etch process and an absorber layer including a second pattern formed on at least a portion of the barrier layer.
Abstract: A multi-tone photomask and method for manufacturing the same are disclosed. A photomask includes a filter layer formed on at least a portion of a substrate. The filter layer includes a first pattern formed by a first etch process. A barrier layer including the first pattern is formed on at least a portion of the filter layer by a second etch process. An absorber layer including a second pattern is formed on at least a portion of the barrier layer by a third etch process. The barrier layer further acts as an etch stop for the third etch process.

Journal ArticleDOI
TL;DR: This work demonstrates that MAP, using a single photomask, can generate patterns having different symmetries and periodicities from that of the lens array.
Abstract: Microlens array photolithography (MAP) is a technique in which arrays of microlenses positioned close to photoresist reduce cm-sized figures on photomasks and form μm-scale images in the photoresis...

Patent
Ben Eynon1
18 Jan 2002
TL;DR: In this article, a fused silica pellicle for use on photomasks having increased durability and improved transmission uniformity and birefringence properties was proposed. But it was not shown how to apply it to the photomask.
Abstract: A fused silica pellicle for use on photomasks having increased durability and improved transmission uniformity and birefringence properties. The pellicle may be secured to the photomask using an adhesive or a slide rail system, or may be held in place using a static charge.

Patent
01 Feb 2002
TL;DR: An attenuating embedded phase shift photomask blank that produces a phase shift of the transmitted light is formed with an optically translucent film made of metal, silicon, nitrogen and oxygen as mentioned in this paper.
Abstract: An attenuating embedded phase shift photomask blank that produces a phase shift of the transmitted light is formed with an optically translucent film made of metal, silicon, nitrogen and oxygen An etch stop layer is added to improve the etch selectivity of the phase shifting layer A wide range of optical transmission (0001% up to 15% at 157 nm) is obtained by this process

Patent
Akio Misaka1
24 Dec 2002
TL;DR: In this paper, a mask pattern is provided on a transparent substrate, which includes a semi-light-shielding portion 3, which transmits exposure light in the same phase as that of the light-transmitting portion 4 and a phase shifter 5, where the exposure light is transmitted in a phase opposite to that of lighttransmitting part 4.
Abstract: A mask pattern to be provided on a transparent substrate 2 includes a semi-light-shielding portion 3 which transmits exposure light in the same phase as that of the light-transmitting portion 4 and a phase shifter 5 which transmits exposure light in a phase opposite to that of the light-transmitting portion 4 . The semi-light-shielding portion 3 has a transmittance which allows exposure light to be partially transmitted. The phase shifter 5 is provided in a region of the mask pattern in which light transmitted through the phase shifter 5 can cancel part of the light transmitted through the light-transmitting portion 4 and the semi-light-transmitting portion 3.

Patent
Pei-Yang Yan1, Fu-Chang Lo1
01 Aug 2002
TL;DR: In this paper, a photomask mask is disclosed, consisting of a pattern layer that is selectively formed on a substrate in a polygonal pattern, and an absorptive layer is disposed in trenches formed within the multilayer stack.
Abstract: A photolithography mask is disclosed. The mask comprises a pattern layer that is selectively formed on a substrate in a photomask pattern. Next, a multilayer stack is formed on the pattern layer and the substrate. The multilayer stack is comprised of a plurality of pairs of thin films. Finally, an absorptive layer is disposed in trenches formed within the multilayer stack. The absorptive layer is absorptive of an EUV illuminating radiation. Further, the trenches are located substantially over the borders between the pattern layer and the substrate.

Patent
27 Jun 2002
TL;DR: In this article, a photomask consisting of a plurality of first mask sections 2a, 2b, and 2c was proposed to enable the formation of a high-quality polycrystalline material with a large crystal grain diameter.
Abstract: PROBLEM TO BE SOLVED: To provide a photomask which permits the formation of a high-quality polycrystalline material with a large crystal grain diameter. SOLUTION: The photomask comprises a plurality of first mask sections 2a, 2b, and 2c wherein a plurality of rectangular first slits 1 are each formed, and a second mask section 4 wherein a plurality of rectangular second slits 3 are formed. After conducting a treatment for making a grain diameter of polysilicon larger using the first mask section 2 wherein the first slits 1 are formed, projections 20 formed by this treatment are made lower using the second mask section 4 wherein the second slits 3 are formed. Consequently, a surface of a semiconductor thin film 21 can be flattened, resulting in the formation of a polysilicon TFT having superior electric properties. COPYRIGHT: (C)2004,JPO