scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2004"


Patent
12 Jan 2004
TL;DR: In this article, a technique for measuring, inspecting, characterizing and/or evaluating optical lithographic equipment, methods, and materials used therewith, for example, photomasks is presented.
Abstract: In one aspect, the present invention is a technique of, and a system and sensor for measuring, inspecting, characterizing and/or evaluating optical lithographic equipment, methods, and/or materials used therewith, for example, photomasks. In one embodiment, the system, sensor and technique measures, collects and/or detects an aerial image produced or generated by the interaction between the photomask and lithographic equipment. An image sensor unit may measure, collect, sense and/or detect the aerial image in situ—that is, the aerial image at the wafer plane produced, in part, by a product-type photomask (i.e., a wafer having integrated circuits formed during the integrated circuit fabrication process) and/or by associated lithographic equipment used, or to be used, to manufacture of integrated circuits. In this way, the aerial image used, generated or produced to measure, inspect, characterize and/or evaluate the photomask is the same aerial image used, generated or produced during wafer exposure in integrated circuit manufacturing. In another embodiment, the system, sensor and technique characterizes and/or evaluates the performance of the optical lithographic equipment, for example, the optical sub-system of such equipment. In this regard, in one embodiment, an image sensor unit measures, collects, senses and/or detects the aerial image produced or generated by the interaction between lithographic equipment and a photomask having a known, predetermined or fixed pattern (i.e., test mask). In this way, the system, sensor and technique collects, senses and/or detects the aerial image produced or generated by the test mask—lithographic equipment in order to inspect, evaluate and/or characterize the performance of the lithographic equipment.

175 citations


Journal ArticleDOI
09 Sep 2004-Langmuir
TL;DR: The ability to produce multiple, aligned patterns ofSAMs in a single step, without alignment of photomasks in separate steps, increases the versatility of SAMs for studying a range of physical phenomena.
Abstract: This work describes a method for patterning a gold substrate with multiple, aligned self-assembled monolayers (SAMs) using light at different wavelengths. It describes the synthesis and characterization of an alkanethiolate SAM that is photosensitive to light at both 220 and 365 nm. A photomask acts as an area-selective filter for light at 220 and 365 nm, and a single set of exposures at these two wavelengths through one photomask, without steps of alignment between the exposures, can produce three aligned SAMs on one gold substrate. We demonstrate the versatility of this method of photopatterning by modifying individual aligned SAMs chemically to produce surfaces having different properties. We characterize the modified SAMs using immunolabeling, matrix-assisted laser desorption/ionization time-of-flight mass spectroscopy, and surface plasmon resonance spectroscopy. We also describe the patterning of two aligned SAMs that resist the adsorption of proteins and a third region that does not resist the adsorption of proteins. The ability to produce multiple, aligned patterns of SAMs in a single step, without alignment of photomasks in separate steps, increases the versatility of SAMs for studying a range of physical phenomena.

152 citations


Journal ArticleDOI
Soichi Owa1, Hiroyuki Nagasaka1
TL;DR: In this article, it is shown that water (n = 1.44) is the best liquid for 193-nm immersion exposure tools, and that it has an advantage in the numerical aperture of optics by a factor of refractive index n of the liquid filled into the space between the bottom lens and wafer.
Abstract: Immersion lithography has an advantage in the numerical aperture of optics by a factor of refractive index n of the liquid filled into the space between the bottom lens and wafer. In case of 193-nm exposure tools, water (n = 1.44) has been found as the best liquid. It is shown, by using imaging simulations, that ArF (193-nm) immersion lithography (NA = 1.05 to 1.23) has almost equivalent performance to F2 (157-nm) dry (NA = 0.85 to 0.93) lithography. Issues in the ArF immersion exposure tools are discussed with fluid-dynamic and thermal simulations results. In the fundamental issues, there seems to be no showstoppers so far, however, there exist several challenges to realize viable exposure tools.

116 citations


Patent
Yamaguchi Atsumi1
27 May 2004
TL;DR: In this paper, a method for manufacturing a semiconductor device comprises the steps of irradiating exposure light onto a resist film coated on a substrate to be etched via a photomask on which at least a first opening pattern and a second opening pattern are formed to expose the resist film.
Abstract: When a resist pattern used to manufacture a semiconductor integrated circuit element is formed, high alignment precision can be achieved. A method for manufacturing a semiconductor device comprises the steps of: irradiating exposure light onto a resist film coated on a substrate to be etched via a photomask on which at least a first opening pattern and a second opening pattern are formed to thereby expose the resist film; developing the resist film to thereby obtain a resist pattern; etching away the substrate while using the resist pattern as an etching mask to thereby obtain a predetermined pattern; and removing the resist pattern; wherein when the exposure light has first exposure intensity, the predetermined pattern obtained at the step is a pattern corresponding to the first opening pattern and a pattern corresponding to the second opening pattern; and when the exposure light has second exposure intensity, the predetermined pattern obtained at the step is only a pattern corresponding to the second opening pattern.

113 citations


Journal ArticleDOI
TL;DR: In this paper, the reproducibility and accuracy of repair of clear and opaque programmed defects on Cr binary and MoSi phase shift masks were evaluated using a high-resolution Supra scanning electron microscope platform.
Abstract: High-resolution electron-beam-assisted deposition and etching is an enabling technology for current and future generation photomask repair. NaWoTec in collaboration with Carl Zeiss NTS (formerly LEO Electron Microscopy) has developed a mask repair tool capable of processing a wide variety of mask types, such as quartz binary masks, phase shift masks, extreme ultraviolet masks, and e-beam projection stencil masks. Specifications currently meet the 65nm device node requirements, and tool performance is extendible to 45nm and below. The tool combines LEO’s ultra-high-resolution Supra scanning electron microscope platform with NaWoTec’s proprietary e-beam deposition and etching technology, gas delivery system, and mask repair software. In this article, we focus on tool performance results; that is, the reproducibility and accuracy of repair of clear and opaque programmed defects on Cr binary and MoSi phase shift masks. These masks have in the past been difficult to repair due to beam position instability caus...

80 citations


Journal ArticleDOI
TL;DR: Refractive microlenses with more than 50 microm sag are fabricated using grayscale lithography to facilitate high precision assembly of miniature optical systems.
Abstract: Refractive microlenses with more than 50 µm sag are fabricated using grayscale lithography. Mechanical assembly features are made simultaneously alongside the microlenses to facilitate high precision assembly of miniature optical systems. The microlens elements are formed using lithographic patterning of photosensitive hybrid sol-gel glass requiring no etch transfer to the substrate material. Grayscale lithography enables the straightforward patterning of aspheric lenses and arbitrary surfaces within the material depth. Lessons learned in the design of a grayscale photomask are described. Characterization of the fabricated lens elements is reported including lens shape, surface quality, and image quality of a complete assembled imaging system.

72 citations


Patent
Yung-Tin Chen1
01 Apr 2004
TL;DR: In this article, a photomask including a first area transmitting light in a first phase surrounded by second area, the second area transmitting lights in a second phase opposite the first phase is presented.
Abstract: Aspects of the present invention provide for a novel photomask for patterning features for an integrated circuit, the photomask including a first area transmitting light in a first phase surrounded by second area, the second area transmitting light in a second phase, the second phase opposite the first phase. No blocking material separates the first area from the second area. After development of photoresist, the transition between the first and second area causes formation of a residual photoresist feature on the photoresist surface due to phase canceling of light. If the first area is small enough, it is nonprinting, ie., the opposite sides of the residual photoresist feature formed at its perimeter merge, forming a contiguous photoresist feature, and thus a corresponding patterned feature after etch.

68 citations


Journal ArticleDOI
TL;DR: In this paper, a TiO2-coated photomask was placed on an organic or inorganic substrate to be patterned with a small gap (12.5−100 μm), and irradiated with UV light.
Abstract: Remote oxidation via the gas phase by the TiO2 photocatalyst was exploited for a novel technique for solid surface patterning, photocatalytic lithography. A TiO2-coated photomask was placed on an organic or inorganic substrate to be patterned with a small gap (12.5−100 μm), and irradiated with UV light. Heptadecafluorodecyltrimethoxysilane-, octadecyltriethoxysilane-, and methyltriethoxysilane-coated glass plates, a silicon plate, and a copper plate could be patterned in ≥10 min with resolution of 10 μm or better. Such resolution could be obtained even when the intervening gap between the TiO2 film and the substrate was 100 μm. This may be explained in terms of a double excitation scheme, in which not only TiO2 but also a chemical species diffusing from the TiO2 surface or the substrate to be oxidized is excited by the incident light.

67 citations


Patent
10 May 2004
TL;DR: In this article, a photomask has a pattern with compensation features that alleviate patterning variations due to the proximity effect and depth of focus concerns during photolithography, and the compensation features are disposed near isolated or outermost lines of a device pattern.
Abstract: A photolithography and etch process sequence includes a photomask having a pattern with compensation features that alleviate patterning variations due to the proximity effect and depth of focus concerns during photolithography. The compensation features may be disposed near isolated or outermost lines of a device pattern. A photoresist pattern is formed to include the compensation features and the pattern etched to form a corresponding etched pattern including the compensation features. After etching, a protection material is formed over the layer and a trim mask is used to form a further photoresist pattern over the protection material. A subsequent etching pattern etches the protection material and removes the compensation features and results in the device lines being formed unaffected by proximity effects. Flare dummies may additionally be added to the mask pattern to increase pattern density and assist in endpoint detection. Flare dummies, like the compensation features, are subsequently removed by a photolithography and etching process sequence.

47 citations


Patent
13 Feb 2004
TL;DR: In this paper, a pattern is formed employing the semitransparent phase shifting mask, and a light shielding portion which is formed by a semi-transparent phase shift portion and a transparent portion with the optimal size combination.
Abstract: A semitransparent phase shifting mask has, in the periphery of a pattern element area, a light shielding portion which is formed by a semitransparent phase shifting portion and a transparent portion with the optimal size combination. A pattern is formed employing the semitransparent phase shifting mask.

46 citations


Patent
18 Jul 2004
TL;DR: In this paper, a method for compensating for critical dimension (CD) variations of pattern lines of a wafer, by the correcting the CD of the corresponding photomask, was proposed.
Abstract: A method for compensating for critical dimension (CD) variations of pattern lines of a wafer, by the correcting the CD of the corresponding photomask. The photomask comprises a transparent substrate having two substantially opposite surfaces, a first back surface and a second front surface on which front surface an absorbing coating is provided, on which the pattern lines were formed by removing the coating at the pattern lines. The method comprises: determining CD variations across regions of a wafer exposure field relating to the photomask; and providing Shading Elements (SE) within the substrate of the photomask in regions which correlates to regions of the wafer exposure field where CD variations greater than a predetermined target value were determined, whereby the shading elements attenuate light passing through the regions, so as to compensate for the CD variations on the wafer and hence provide and improved CD tolerance wafer.

Patent
10 Sep 2004
TL;DR: In this article, a photomask substrate has, on one principal face, a metal compound film as an antireflection layer, which can not be substantially etched by chlorine-based dry etching containing no oxygen (Cl-based Dry etching), but can be etched by at least one of chlorine-b dry etchers containing oxygen ((Cl+O)-based dry e etching) and fluorine-based e ecting (F-based E ecting).
Abstract: PROBLEM TO BE SOLVED: To provide a photomask having a fine photomask pattern formed with high accuracy and to provide a photomask blank for the photomask. SOLUTION: A photomask substrate 11 has, on one principal face, a metal film as a light shielding layer 12 which can not be substantially etched by chlorine-based dry etching containing oxygen ((Cl+O)-based dry etching) but can be etched by chlorine-based dry etching containing no oxygen (Cl-based dry etching) and by fluorine-based dry etching (F-based dry etching). Further, the substrate has, on the above light shielding layer 12, a metal compound film as an antireflection layer 13 which can not be substantially etched by chlorine-based dry etching containing no oxygen (Cl-based dry etching) but can be etched by at least one of chlorine-based dry etching containing oxygen ((Cl+O)-based dry etching) and fluorine-based dry etching (F-based dry etching). COPYRIGHT: (C)2006,JPO&NCIPI

Patent
24 May 2004
TL;DR: In this article, the authors present an automated manufacturing system and method for manufacturing photomasks wherein information provided by a customer at a remote location is interfaced, via a network, to a photomask manufacturer's computer system and automatically processes data for manufacturing a photOMask and automatically formats and routes data to processing equipment.
Abstract: The present invention relates generally to an automated manufacturing system and method for manufacturing photomasks wherein information provided by a customer at a remote location is interfaced, via a network, to a photomask manufacturer's computer system and automatically processes data for manufacturing a photomask and automatically formats and routes data to processing equipment. The present invention reduces the need for manual intervention, thereby avoiding costly delays and transcription errors associated therewith. The software of the present invention provides for automatic generation of data arrays, which can be used to process and monitor the status of a photomask during manufacture. Further, the software is capable of automatically modifying design data provided by a photomask user. Additionally, the software of the present invention includes an automatic messaging system which can notify users of the system, of status and errors in manufacture of photomasks. The present invention also includes a real time monitoring system capable of notifying users of the status and errors in the processing of the photomask during manufacture.

Patent
09 Apr 2004
TL;DR: In this paper, a photomask blank serving as a base member for producing a halftone-type phase shift mask in which a light transmissive substrate is formed thereon with a light-semitransmissive phase shift pattern having a desired opening, an etching mask film is made of an inorganic-based material having a resistance against dry etching of the chromium film.
Abstract: In a photomask blank serving as a base member for producing a halftone-type phase shift mask in which a light-transmissive substrate is formed thereon with a light-semitransmissive phase shift pattern having a desired opening, a light-semitransmissive phase shift film, a chromium film, and an etching mask film are stacked in order on the light-transmissive substrate. The etching mask film is made of an inorganic-based material having a resistance against dry etching of the chromium film. The photomask blank further may has a resist film formed on the etching mask film.

Patent
12 Nov 2004
TL;DR: In this article, the authors present a method for making corrections to pattern data, such as corrections for distortions in the field of an SLM exposure stamp, which may be used to produce a device on a substrate.
Abstract: The invention relates to production and precision patterning of work pieces, including manufacture of photomask for photolithography and direct writing on other substrates, such as semiconductor substrates. In particular, it relates to applying corrections to pattern data, such as corrections for distortions in the field of an SLM exposure stamp. It may be used to produce a device on a substrate. Alternatively, the present invention may be practiced as a device practicing disclosed methods or as an article of manufacture, particularly a memory, either volatile or non-volatile memory, including a program adapted to carry out the disclosed methods.

Journal ArticleDOI
TL;DR: This work proposes use of a new procedure called integrated simulation (optical combined with electrical) to estimate the impact of the mask critical dimension (CD) budget on transistor performance on the local scale (cell level) and global scale (die level).
Abstract: For sub-100 nm integrated circuit (IC) technologies, many of the factors that affect the cost of photomasks, the cost of material, of the writing process, of the develop/etch process, and of inspection, are increasing by an order of magnitude per generation. In order to mitigate the impact of that increase on the return on investment of new IC products, mask shop deliverables such as yield or alignment with technology requirements need to reach new quality. This work focuses on cost containment of the mask by optimally utilizing existing reticle technology to meet device requirements at the product level. We first compare the increase of mask cost with that of other manufacturing equipment categories, and discuss their dependence on layer properties and how to control increasing costs. We then propose use of a new procedure called integrated simulation (optical combined with electrical) to estimate the impact of the mask critical dimension (CD) budget on transistor performance on the local scale (cell level) and global scale (die level). In the process, at the cell level, simulated aerial images of metal-oxide-semiconductor field-effect transistor channels are used to evaluate the parametric data dependence on the optical proximity effects and correction features at the mask grade assumed. At the die level, statistical distribution of device parameters in the die is derived to estimate the parametric yield impacted by mask CD variation. We also discuss how integrated simulation can help in resolving other challenges of advanced reticle manufacturing such as qualification of masks or the generation of dummy patterns.

Patent
22 Jul 2004
TL;DR: An alignment mark mask element protects an underlying alignment mark during subsequent processing of the fabrication substrate is formed concurrent with formation of a photomask from a dual-tone photoresist that exhibits a pattern reversal upon exposure to an energy level as mentioned in this paper.
Abstract: An alignment mark mask element protects an underlying alignment mark during subsequent processing of the fabrication substrate The alignment mark mask element is formed concurrent with formation of a photomask from a dual-tone photoresist that exhibits a pattern reversal upon exposure to an energy level A portion of the dual-tone photoresist above the alignment mark is exposed to an energy sufficient to reverse a positive tone resist to a negative tone, which remains above the alignment mark after developing The remainder of the dual-tone photoresist is exposed through a reticle at a lesser energy level and patterned to define aperture locations of a photomask for formation of semiconductor device features In addition, a photomask for use on a fabrication substrate and an intermediate semiconductor device are disclosed Methods of forming a photomask and an intermediate semiconductor device structure are also disclosed

Patent
22 Jan 2004
TL;DR: In this article, the improved binary half tone (BHT) photomasks and microscopic 3D structures (e.g., MEMS, micro-optics, photonics, microstructures and other three-dimensional, microscopic devices) made from such BHT photomask were used to produce a smoother and more linear profile on the object being made.
Abstract: The present invention generally relates to improved binary half tone (“BHT”) photomasks and microscopic three-dimensional structures (e.g., MEMS, micro-optics, photonics, micro-structures and other three-dimensional, microscopic devices) made from such BHT photomasks. More particularly, the present invention provides a method for designing a BHT photomask layout, transferring the layout to a BHT photomask and fabricating three-dimensional microscopic structures using the BHT photomask designed by the method of the present invention. In this regard, the method of designing a BHT photomask layout comprises the steps of generating at least two pixels, dividing each of the pixels into sub-pixels having a variable length in a first axis and fixed length in a second axis, and arraying the pixels to form a pattern for transmitting light through the pixels so as to form a continuous tone, aerial light image. The sub-pixels' area should be smaller than the minimum resolution of an optical system of an exposure tool with which the binary half tone photomask is intended to be used. By using this method, it is possible to design a BHT photomask to have continuous gray levels such that the change in light intensity between each gray level is both finite and linear. As a result, when this BHT photomask is used to make a three-dimensional microscopic structure, it is possible to produce a smoother and more linear profile on the object being made.

Patent
Dong-Gyu Kim1
25 Jun 2004
TL;DR: In this paper, a thin film transistor for a liquid crystal display (LCD) and a method for manufacturing the same that allows the number of photomasks used in a photolithography process to be decreased as compared to conventional methods are discussed.
Abstract: Disclosed is a thin film transistor (TFT) for a liquid crystal display (LCD) and a method for manufacturing the same that allows the number of photomasks used in a photolithography process to be decreased as compared to conventional methods. A passivation film is formed as a single layered organic insulating film, and the number of needed exposure steps is reduced, so as to decrease the number of needed photomask sheets and thereby improve the efficiency of the TFT production process. Applications of the disclosed method include reflection and transmission composite type LCDs as well as a reflection type LCD.

Patent
14 Jan 2004
TL;DR: In this article, a method for manufacturing a resist pattern designed to reduce a manufacturing cost by improving efficiency in the use of a resist material was proposed, and a method to remove the resist pattern.
Abstract: To provide a method for manufacturing a resist pattern designed to reduce a manufacturing cost by improving efficiency in the use of a resist material, a method for removing a resist pattern, and a method for manufacturing a semiconductor device. The present invention includes a step of forming a resist pattern by discharging a composition containing photosensitizer on a object to be processed under reduced pressure. The present invention includes a step of etching the object to be processed using the resist pattern as a mask, a step of irradiating the resist pattern through a photomask with light within a photosensitive wavelength region of a photosensitizer, and a step of removing the resist pattern on the object to be processed.

Proceedings ArticleDOI
Florence Eschbach1, Daniel Tanzil1, Michael Kovalchick1, Uwe U. Dietze, Min Liu, Fei Xu 
20 Aug 2004
TL;DR: In this paper, the International Society for Optical Engineering (IOSE) has published an abstract of a paper entitled "The International Journal of Optical Engineering: 2004 Abstract No. 1, No.
Abstract: No Abstract Available.© (2004) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
02 Feb 2004
TL;DR: In this article, a low reflective photomask blank suitable for shortened exposure wavelengths is disclosed, which is characterized by comprising an antireflective film, which at least contains silicon and oxygen and/or nitrogen on the light-shielding film.
Abstract: A low reflective photomask blank suitable for shortened exposure wavelengths is disclosed. A photomask blank (1) having a single-layer or multilayer light-shielding film (3) arranged on a translucent substrate (2) and mainly containing a metal is characterized by comprising an antireflective film (6), which at least contains silicon and oxygen and/or nitrogen, on the light-shielding film (3).

Patent
02 Aug 2004
TL;DR: In this paper, a method and system for cleaning and stripping photoresist from photomasks used in integrated circuit manufacturing is described, including a process and means of introducing a mixture of sulfuric acid and ozone to the surface of a photomask while applying megasonic energy.
Abstract: A method and system for cleaning and/or stripping photoresist from photomasks used in integrated circuit manufacturing comprising a process and means of introducing a mixture of sulfuric acid and ozone (or a mixture of sulfuric acid and hydrogen peroxide) to the surface of a photomask while applying megasonic energy. The invention also comprises method and system comprising a process and means of introducing ozonated deionized water and/or a low temperature dilute aqueous solution (dAPM) to the surface of photomasks while applying megasonic energy. The process and apparatus also remove post plasma ashed residues and other contaminants from photomask surfaces.

Patent
Akio Misaka1
12 May 2004
TL;DR: The photomask of as mentioned in this paper consists of a semi-shielding portion having a transmitting property against exposing light, a transparent part having a transmission property against the exposing light and an auxiliary pattern surrounded with the semi -shielding and provided around the transparent portion.
Abstract: The photomask of this invention includes, on a transparent substrate, a semi-shielding portion having a transmitting property against exposing light, a transparent portion having a transmitting property against the exposing light and surrounded with the semi-shielding portion, and an auxiliary pattern surrounded with the semi -shielding portion and provided around the transparent portion. The semi-shielding portion and the transparent portion transmit the exposing light in an identical phase with respect to each other. The auxiliary pattern transmits the exposing light in an opposite phase with respect to the semi-shielding portion and the transparent portion and is not transferred through exposure.

Patent
Darren Taylor1
08 Sep 2004
TL;DR: In this paper, a method of repairing a photomask having a pattern layer, an internal etch stop layer underlying the pattern layer and a substantially transparent substrate is described, where the mask is inspected for defects and openings associated with each defect are written into the new layer of photoresist.
Abstract: A method of repairing a photomask having a pattern layer, an internal etch stop layer underlying the pattern layer and a substantially transparent substrate. After the mask has been partially or fully processed, the mask is inspected for defects. Defects which are appropriate to be repaired are identified, and openings associated with each defect are written into jobdeck instructions. A new layer of photoresist material is then deposited on the photomask after cleansing, and openings associated with each defect to be repaired are written into the new layer of photoresist. After the openings are developed and rinsed so that the defects to be repaired are exposed, the photomask is again etched to remove the exposed defects. Since there is an etch stop layer underlying the defects in the exposed areas, only the defect is removed and no further damage is caused to the photomask. The photoresist may then be removed, and the photomask may then be inspected to insure that the defects have been sufficiently repaired. Further processing of the photomask may then continue in the usual manner.

Proceedings ArticleDOI
06 Dec 2004
TL;DR: X-ray diffraction analysis indicates that structure of laser exposed Sn/In bimetallic films is similar to that of ITO films, suggesting new directions for improvement of bimentallic film optical properties, and that the theoretical maximum transmission should approach pure ITO’s ~0.05OD in the visible wavelength.
Abstract: Bimetallic thin films were previously shown to create laser direct write binary and analog gray scale photomasks. DC-sputtered Sn/In (5at.% Sn, 80 nm) oxidize under laser exposure, modifying the optical density at 365 nm from >3OD to <0.22OD. Bimetallic Sn/In thin film grayscale photomasks have been successfully used to create concave and convex 3D structures using mask aligners with Shipley photoresists. To produce precise 3D structures in the organic photoresists, every mask making step was studied. Compensations during the mask making process were necessary because that the relationship between the optical density of the exposed bimetallic films and the laser writing power is not accurately linear, and also that the response of the photoresists is not linear to the exposure. V-grooves with straight slope profile were produced with calibrations taken into account. X-ray diffraction analysis indicates that structure of laser exposed Sn/In bimetallic films is similar to that of ITO films, suggesting new directions for improvement of bimetallic film optical properties, and that the theoretical maximum transmission should approach pure ITO’s ~0.05OD in the visible wavelength.

Patent
Yuji Kobayashi1
13 Oct 2004
TL;DR: In this article, a method of forming a contact hole according to an embodiment of the present invention is described, which involves exposing a resist film formed on a semiconductor substrate to a light using a first photomask in which mask patterns are arranged two-dimensionally at a predetermined pitch.
Abstract: A method of forming a contact hole according to an embodiment of the present invention comprises exposing a resist film formed on a semiconductor substrate to a light using a first photomask in which mask patterns are arranged two-dimensionally at a predetermined pitch; developing the resist film to form contact hole patterns corresponding to the mask patterns in the resist film; reducing an opening size of each of the contact hole patterns formed in the resist film; exposing the resist film to the light using a second photomask in which predetermined patterns are formed to set a reflow starting temperature of a first resist film area that corresponds to the predetermined patterns to be relatively higher than a reflow starting temperature of a second resist film area other than the first resist film area; and heating the semiconductor substrate at a temperature equal to or higher than the reflow starting temperature of the second resist film area and lower than the reflow starting temperature of the first resist film area to subject the second resist film area to reflow and eliminate the contact hole patterns formed in the second resist film area.

Patent
Jin Hyung Park1, Sungmin Huh1
08 Nov 2004
TL;DR: In this paper, a photomask is used to transfer a pattern having a uniform and desired CD onto a substrate from which an electronic device or the like is made, and the mask is tested to determine variations between the desired (target) CD and the CDs of the features of a pattern transcribed onto a test wafer using the photomasks.
Abstract: A photomask ensures the transfer of a pattern having a uniform and desired CD onto a substrate from which an electronic device or the like is made. The photomask includes a transparent substrate, a light-shielding film on the front side of the substrate and defining a mask pattern of transmission regions dedicated for pattern formation, and an auxiliary pattern on the front side of the substrate that alters the intensity of the light beam passing through the substrate. After the mask pattern is formed, the photomask is tested to determine variations between the desired (target) CD and the CDs of the features of a pattern transcribed onto a test wafer using the photomask. A density function in which characteristics of the auxiliary pattern to be formed, e.g., the size, depth and/or pitch of recesses, is developed as a prediction of the intensity distribution of the light beam transmitted through the substrate once the auxiliary pattern is present at the front side of the substrate. The photomask is then repaired/corrected by designing and forming the auxiliary pattern according to the density function so as to prevent local or global variations between the desired CD and the actual CD from occurring.

Patent
09 Apr 2004
TL;DR: In this article, a method of producing a photomask that poses a problem with a CD accuracy lowered due to a loading effect was proposed, where an etching pattern consisting of an inorganic material resistant to the etching of a chromium film was used as the etch mask.
Abstract: A method of producing a photomask (10) that poses a problem with a CD accuracy lowered due to a loading effect; and technique for restricting a loading effect. A method of producing a photomask (10) in which a chromium pattern (21) having a global numerical aperture difference in a plane on a translucent substrate (1) is formed on the translucent substrate (1), wherein an etching pattern (31) consisting of an inorganic material resistant to the etching of a chromium film (2) is used as the etching mask of the chromium film (2). The chromium film (2) is dry-etched under a condition selected from conditions that will cause damage to a resist pattern (41) to an extent not permitted when the resist pattern (41) is used as a mask to etch the chromium film (2).

Journal ArticleDOI
TL;DR: In this paper, a new class of printing strategies is described for the manufacture of microstructures and nanostructures, referred to as molecular transfer lithography (MxL), which is based on the room-temperature fabrication of water-soluble polymer templates by spin casting a polyvinyl alcohol film-forming solution to replicate surface patterns.
Abstract: A new class of printing strategies is described for the manufacture of microstructures and nanostructures. This class collectively is referred to as molecular transfer lithography (MxL). The approach is based on the room-temperature fabrication of water-soluble polymer templates by spin casting a polyvinyl alcohol film-forming solution to replicate surface patterns. The templates are useful not only for pattern formation, but also for materials transfer printing, employing a low-cost, convenient, biocompatible chemical approach to high-resolution processing. Results are provided to demonstrate deep submicrometer feature sizes of holes, pillars and lines, 3-D patterns, materials transfer printing of metallic thin films, planarization of wafer topography, and water-soluble polymer templates for 100- and 200-mm wafer patterning. The alignment tooling is discussed and it is shown that MxL can be adapted for use on standard contact aligners with a replacement of the quartz photomask with a water-soluble polymer template to improve resolution without a change of equipment. A high-throughput alignment system for MxL is also discussed. The MxL class of pattern formation and materials transfer printing strategies is differentiated with respect to imprint lithography and soft lithography methods.