scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2005"


Patent
08 Sep 2005
TL;DR: In this article, a photomask blank is provided comprising an etch stop film which is disposed on a transparent substrate and is resistant to fluorine dry etching and removable by chlorine dry etch.
Abstract: A photomask blank is provided comprising an etch stop film which is disposed on a transparent substrate and is resistant to fluorine dry etching and removable by chlorine dry etching, a light-shielding film disposed on the etch stop film and including at least one layer composed of a transition metal/silicon material, and an antireflective film disposed on the light-shielding film. When the light-shielding film is dry etched to form a pattern, pattern size variation arising from pattern density dependency is reduced, so that a photomask is produced at a high accuracy.

252 citations


Patent
13 Aug 2005
TL;DR: In this paper, an apparatus and method for improving image quality in a photolithographic process includes calculating a figure of demerit for a mask function and then adjusting the mask function to reduce the figure.
Abstract: An apparatus and method for improving image quality in a photolithographic process includes calculating a figure-of-demerit for a photolithographic mask function and then adjusting said photolithographic mask function to reduce the figure of demerit.

167 citations


Journal ArticleDOI
TL;DR: In this article, a singlemode polymeric channel waveguides were fabricated using simple direct ultraviolet photolithography process using a cross-linkable negative tone epoxy NANOTM SU-8 2000 polymer.
Abstract: Single-mode polymeric channel waveguides were fabricated using simple direct ultraviolet photolithography process. A cross-linkable negative tone epoxy NANOTM SU-8 2000 polymer was used. Once exposed to ultraviolet light through a photomask, the waveguide stripes were obtained upon development. The polymer has many desirable properties, such as high refractive index, good adhesion to substrate, optical transparency in the infrared wavelength region, and high glass transition and high thermal decomposition temperatures. Properties of the optical waveguides were characterized, and there is an excellent agreement between measured data and theory. The values of dn/dT and waveguide birefringence are -1.87×10-4 /°C and ∼10-4, respectively, and are comparable to those of halogenated acrylate polymers. With an overcladding layer, the propagation losses measured are 0.25 and 0.28 dB/cm at 0.8 μm, 0.62 and 0.77 dB/cm at 1.31 μm, and 1.25 and 1.71 dB/cm at 1.55 μm for TE and TM polarizations, respectively.

164 citations


Patent
03 Feb 2005
TL;DR: In this article, a photolithographic exposure system for use on a photoresis on a substrate includes an illumination system, a photomask with one or more object patterns, a projection optical exposure system, and a fluid dispensing system.
Abstract: A photolithographic exposure system for use on a photoresis on a substrate includes an illumination system, a photomask with one or more object patterns, a projection optical exposure system, and a fluid dispensing system. The projection optical exposure system is positioned to project an image of the one or more object patterns toward an image plane. The fluid dispensing system positions a fluid between the projection optical exposure system and the photoresist on the substrate. The fluid has a refractive index value above a refractive index value of water and an absorbance below 0.8 per millimeter at wavelength between about 180 nm and about 300 nm.

122 citations


Journal ArticleDOI
TL;DR: In this paper, a laser-induced backside wet etching (LIBWE) was used for the fabrication of microfluidic microtrenches from glass chips using computer drawing software and then automatically translated into computer numerical control motion.
Abstract: Glass is an excellent material for use as a microfluidic chip substrate because it has great chemical and thermal stability. This work describes a flexible platform for the rapid prototyping of microfluidic chips fabricated from glass. A debris-free laser direct-writing technology that requires no photomask generation is developed. A 266 nm laser with a high repetition rate is employed in laser-induced backside wet etching (LIBWE) for glass machining. A microfluidic pattern is designed using computer drawing software and then automatically translated into computer numerical control motion so that the microtrench is directly fabricated on the glass chip. The overall machining speed can be increased by increasing the repetition rate to ~6 kHz. Without a clean room facility or the highly corrosive acid, HF, the overall development time is within hours. Trenches with complex structures that are hard to fabricate by photolithography were easily produced by laser direct-writing. An integrated microreactor/concentrator is demonstrated. The crack-free and debris-free surface was characterized by SEM and a surface profiler. Various effective etching chemicals for the LIBWE process were investigated to understand the etching mechanism. The minimal laser power used for glass etching was approximately 20 mW for a 6 µm wide microtrench. Several new compounds have been demonstrated to be effective in ablation. The etch threshold is minimum and does not decrease further as the unit length absorbance increases above 8000 in acetone solution.

110 citations


Journal ArticleDOI
01 Jun 2005-Langmuir
TL;DR: A novel microreactor-based photomask capable of effecting high resolution, large area patterning of UV/ozone treatments of poly(dimethylsiloxane) (PDMS) surfaces is described and provides new insights into the mechanisms that contribute to the chemistry responsible for the interfacial adhesion of DTL transfers.
Abstract: A novel microreactor-based photomask capable of effecting high resolution, large area patterning of UV/ozone (UVO) treatments of poly(dimethylsiloxane) (PDMS) surfaces is described. This tool forms the basis of two new soft lithographic patterning techniques that significantly extend the design rules of decal transfer lithography (DTL). The first technique, photodefined cohesive mechanical failure, fuses the design rules of photolithography with the contact-based adhesive transfer of PDMS in DTL. In a second powerful variation, the UVO masks described in this work enable a masterless soft lithographic patterning process. This latter method, UVO-patterned adhesive transfer, allows the direct transfer of PDMS-based polymer microstructures from a slab of polymer to silicon and other material surfaces. Both methods exploit the improved process qualities that result from the use of a deuterium discharge lamp to affect the UVO treatment to pattern complex, large area PDMS patterns with limiting feature sizes ex...

91 citations


Patent
29 Jul 2005
TL;DR: In this article, a light-shieldable film is formed on one principal plane of an optically transparent substrate, where the first light shieldable film consists of a first light-safe film 13 and a second light-helveable film 14 successively layered.
Abstract: PROBLEM TO BE SOLVED: To provide a photomask having a fine photomask pattern formed thereon with high precision, and also to provide a photomask blank for the photomask. SOLUTION: A light-shieldable film 12 is formed on one principal plane of an optically transparent substrate 11, wherein the light-shieldable film 12 comprises a first light-shieldable film 13 and a second light-shieldable film 14 successively layered. The first light-shieldable film 13 is a film that is not substantially etched by fluorine-based (F-based) dry etching and is primarily composed of chromium oxide, chromium nitride, chromium oxynitride or the like. The second light-shieldale film 14 is the film that is primarily composed of a silicon-containing compound that can be etched by F-based dry etching, such as silicon oxide, silicon nitride, silicon oxynitride, silicon/transition-metal oxide, silicon/transition metal nitride or silicon/transition metal oxynitride. The silicon-containing compound has a composition of 10 to 95 at% silicon, 0 to 60 at% oxygen, 0 to 57 at% nitrogen, and 0 to 35 at% transition metal, and the transition metal is, for example, molybdenum (Mo). COPYRIGHT: (C)2006,JPO&NCIPI

84 citations


BookDOI
07 Apr 2005
TL;DR: Nishi et al. as discussed by the authors presented an overview of Mask Making and its application in the field of optical microscopy, including the use of masks for extreme ultraviolet and ion projection.
Abstract: Foreword by Yoshio Nishi Preface, Syed Rizvi INTRODUCTION Introduction to Mask Making A.G. Zanzal MASK WRITING Data Preparation P.J.M. van Adrichem and C.K. Kalus Mask Writers: An Overview S. Babin E-Beam Mask Writers N. Saitou Laser Mask Writers C. Rydberg OPTICAL MASKS Optical masks: An Overview N. Yoshioka Conventional Optical Masks S.A. Rizvi Advanced Optical Masks W. Maurer and F. Schellenberg NGL MASKS NGL Masks: An Overview K.R. Kimmel and M. Lercel Masks for Electron Beam Projection Lithography H. Sano, S. Palmer, and M. Yamabe Masks for Extreme Ultraviolet Lithography P-Y. Yan Masks for Ion Projection Lithography S.A. Rizvi, F-M. Kamm, J. Butschke, F. Letzkus, and H. Loeschner Mask for Proximity X-Ray Lithography M. Oda and H. Yoshihara MASK PROCESSING, MATERIALS, AND PELLICLES Mask Substrate S.A. Rizvi Resists for Mask Making B. Rathsack, D. Medeiros, and C.G. Wilson Resist Charging and Heating M. Bai, D. Chu, and F. Pease Mask Processing S.A. Rizvi Mask Materials: Optical Properties V. Liberman Pellicles T. Yen, C.B. Wang, and R. Heuser MASK METROLOGY, INSPECTION, EVALUATION, AND REPAIRS Photomask Feature Metrology J. Potzick Optical Critical Dimension Metrology R.J. Hoobler Photomask Critical Dimension Metrology in the Scanning Electron Microscope M.T. Postek Geometrical Characterization of Mask Using SPM S. Muckenhirn and A. Meyyappan Metrology of Image Placement M.T. Takac Optical Thin Film Metrology for Photomask Applications E. Apak Phase Measurement Tool for PSM H. Kusunose Mask Inspection: Theories and Principle A. Rosenbusch and S. Hemar Tool for Inspecting Masks: Lasertec MD 2500 M. Yonezawa and T. Matsuyama Tool for Mask Image Evaluation A. Zibold Mask Repairs R. Lee MODELING AND SIMULATION Modeling and Simulation A. Erdmann INDEX

79 citations


Journal ArticleDOI
TL;DR: In this paper, an in-depth finite element analysis (FEA) is performed to investigate the causes and effects of the internal stresses, and an optimized UV photolithography process for the fabrication of ultra-thick low-stress SU-8 patterns is developed with conventional (simple) equipment.
Abstract: Patterning thick SU-8 with conventional photolithography facilities is important for fabricating various MEMS structures. However, the fabrication of thick SU-8 MEMS has experienced severe problems such as cracks, distortions, or delaminations during the fabrication process and/or postservices, due to the large internal stress generated during the photolithography process. In this work, an in-depth finite element analysis (FEA) is performed to investigate the causes and effects of the internal stresses. Analytical results show that the post-exposure bake (PEB) temperature is the main factor in developing the resulted internal stress. Under the guidance of analytical results, an optimized UV photolithography process for the fabrication of ultra-thick low-stress SU-8 patterns is developed with conventional (simple) equipment. A low PEB temperature of 55°C reduces the internal stresses by more than 70% compared to those fabricated with the recommended procedure. Experimental results indicate that cracks, distortions, and delaminations are eliminated from the fabricated SU-8 structures using the newly developed procedure. In addition, the patterned SU-8 has a Young's modulus of 2.5 GPa and an ultimate strength of 50 MPa, which is about 50% higher than previous reported values.

55 citations


Journal ArticleDOI
TL;DR: In this paper, a transparent elastomeric molds of poly(dimethylsiloxane) (PDMS) were employed as photomasks to produce the same resist pattern as the pattern of the recessed (or non-contact) regions of the stamps.
Abstract: Conventional photolithography uses rigid photomasks of fused quartz and high-purity silica glass plates covered with patterned microstructures of an opaque material. We introduce new, transparent, elastomeric molds (or stamps) of poly(dimethylsiloxane) (PDMS) that can be employed as photomasks to produce the same resist pattern as the pattern of the recessed (or non-contact) regions of the stamps, in contrast to other reports in the literature[1] of using PDMS masks to generate edge patterns. The exposure dose of the non-contact regions with the photoresist through the PDMS is lower than that of the contact regions. Therefore, we employ a difference in the effective exposure dose between the contact and the non-contact regions through the PDMS stamp to generate the same pattern as the PDMS photomask. The photomasking capability of the PDMS stamps, which is similar to rigid photomasks in conventional photolithography, widens the application boundaries of soft-contact optical lithography and makes the photolithography process and equipment very simple. This soft-contact optical lithography process can be widely used to perform photolithography on flexible substrates, avoiding metal or resist cracks, as it uses soft, conformable, intimate contact with the photoresist without any external pressure. To this end, we demonstrate soft-contact optical lithography on a gold-coated PDMS substrate and utilized the patterned Au/PDMS substrate with feature sizes into the nanometer regime as a top electrode in organic light-emitting diodes that are formed by soft-contact lamination.

54 citations


Journal ArticleDOI
TL;DR: In this paper, the integration of a UV-curable polymer microlens array onto a matrix-addressable, 368-nm-wavelength, light-emitting diode device containing 64×64 micropixel elements was described.
Abstract: We report the integration of a UV-curable polymer microlens array onto a matrix-addressable, 368-nm-wavelength, light-emitting diode device containing 64×64 micropixel elements. The geometrical and optical parameters of the microlenses were carefully chosen to allow the highly divergent emission from each micropixel to be collimated into a narrow beam of about 8-μm diam, over a distance of more than 500μm. This device is demonstrated as a photolithographic exposure tool, where the pattern-programmable array plays the role both of light source and photomask. A simple pattern comprised of two disks having 16-μm diam and 30-μm spacing was transferred into an i-line photoresist.

Patent
10 Feb 2005
TL;DR: In this article, a manufacturing method of a semiconductor device includes forming a first resist film above a substrate, placing a first photomask, that includes a first mask pattern, in a first position above the resist film, and transferring the first mask patterns to the second resist film to form a second resist pattern above the substrate.
Abstract: A manufacturing method of a semiconductor device includes forming a first resist film above a substrate, placing a first photomask, that includes a first mask pattern, in a first position above the first resist film, transferring the first mask pattern to the first resist film to form a first resist pattern above the substrate, forming a second resist film above the substrate after forming the first resist pattern, placing the first photomask in a second position above the second resist film, and transferring the first mask pattern to the second resist film to form a second resist pattern above the substrate.

Proceedings ArticleDOI
21 Oct 2005
TL;DR: In this article, the authors describe the tools and processes used to build these types of structures in a commercial photomask shop and the results of using a template with two levels of patterning to imprint dual damascene 3-D structures.
Abstract: At SPIE Microlithography 2005, the concept of direct imprinting of dielectric material for dual damascene processing and its benefits was introduced 1. Manufacturing a nano-imprint template with multi-tier 3-D structures presents a unique set of challenges. The main issues are patterning two different mask layers with good overlay and etch depth control into the quartz at each step on the same substrate. This work describes the tools and processes used to build these types of structures in a commercial photomask shop. The results of using a template with two levels of patterning to imprint dual damascene 3-D structures will also be presented.

Patent
Fukuhara Kazuya1
23 Aug 2005
TL;DR: In this paper, a method for designing an illumination light source is proposed, which includes acquiring a control feature to control a dimension of a transferred pattern of a mask pattern, design a plurality of illumination elements illuminating the mask pattern and calculating a first optical image of the control feature, formed on a first imaging plane by each of the first illumination lights.
Abstract: A method for designing an illumination light source, includes acquiring a control feature to control a dimension of a transferred pattern of a mask pattern; designating a plurality of illumination elements illuminating the mask pattern; designating first illumination lights to each of first polarization states of a light emitted from each of the illumination elements; calculating a first optical image of the control feature, formed on a first imaging plane by each of the first illumination lights; and determining an illumination shape and a polarization state distribution of the light, based on an optical characteristic of the first optical image.

Patent
Ajay Kumar1
28 Sep 2005
TL;DR: In this article, a method for etching chromium and forming a photomask using a carbon hard mask is described, which is useful for fabricating photomasks. But this method requires the chromium layer to be partially exposed through a patterned hard mask.
Abstract: Methods for etching chromium and forming a photomask using a carbon hard mask are provided. In one embodiment, a method of a chromium layer includes providing a substrate in a processing chamber, the substrate having a chromium layer partially exposed through a patterned carbon hard mask layer, providing a process gas containing chlorine and carbon monoxide into the etching chamber, and maintaining a plasma of the process gas and etching the chromium layer through the carbon hard mask layer. The method of etching a chromium layer through a patterned carbon hard mask layer is useful for fabricating photomasks.

Patent
31 Mar 2005
TL;DR: In this article, a blocking structure is created vertically interposed between subsequent instances of the same reference mark, preventing interference, using the methods of the present invention, which is used to prevent interference.
Abstract: In formation of monolithic three dimensional memory arrays, a photomask may be used more than once. Reuse of a photomask creates second, third or more instances of reference marks used by the stepper to achieve alignment (alignment marks) and to measure alignment achieved (overlay marks) directly above prior instances of the same reference mark. The prior instances of the same reference mark may cause interference with the present instance of the reference mark, complicating alignment and measurement. Using the methods of the present invention, blocking structure is created vertically interposed between subsequent instances of the same reference mark, preventing interference.

Patent
10 Jan 2005
TL;DR: In this article, an anti-electrostatic discharge photomask blank for fabrication of an antielectromagnetic discharge photOMask is presented. But the photomasks are not suitable for use in medical applications.
Abstract: An anti-electrostatic discharge photomask blank for fabrication of an anti-electrostatic discharge photomask is disclosed. The anti-electrostatic discharge photomask blank includes a mask substrate, a conductive layer provided on the mask substrate and an opaque patterning layer provided on the conductive layer. The conductive layer prevents charges of opposite polarity from accumulating on a photomask fabricated from the photomask blank, thus preventing electrostatic discharges on the photomask.

Patent
Park Chan Ha1
22 Dec 2005
TL;DR: In this article, a photomask for double exposure and a double exposure method using the same are disclosed, which enable a finer photoresist pattern to be formed on a semiconductor wafer, while minimizing reduction in yield and productivity.
Abstract: A photomask for double exposure, and a double exposure method using the same are disclosed. The photomask for double exposure comprises a mask substrate divided into first and second regions equally arranged to upper and lower sides on different sides, respectively, a first mask pattern formed on the first region of the mask substrate, and a second mask pattern formed on the second region of the mask substrate. The photomask and the double exposure method using the same enable a finer photoresist pattern to be formed on a semiconductor wafer, while minimizing reduction in yield and productivity due to misalignment and replacement.

Book
11 Aug 2005
TL;DR: The science and technology of industrial photomask production, including fundamental principles, industrial production flows, technological evolution and development, and state of the art technologies are discussed in this paper.
Abstract: Photomasks are defect-free optical templates -- the printing masters for the fabrication of integrated circuits (ICs). When IC feature sizes fall below the exposure tool’s source wavelength, photomask fabrication becomes difficult: very strict mask critical dimension (CD) and feature placement specifications, intensive capital equipment investment, unique raw materials and applications, and special expertise requirements for photomask fabrication technologists are necessary to fabricate modern microelectronics. Thus the rapid recent growth of the field and the need for this book. This text details the science and technology of industrial photomask production, including fundamental principles, industrial production flows, technological evolution and development, and state of the art technologies. Focusing on industrial applications rather than pure science, the goal of the book is to provide a comprehensive reference for any engineer developing microelectronic manufacturing processes Table of contents Introduction Data Preparation and Design Pattern Generation Pattern Transfer Photomask Metrology Defect Control and Finishing Inspection, Repair, and Cleaning Resolution Enhancement Techniques Water Fabrication Issues Future Developments Appendices References

Patent
27 May 2005
TL;DR: In this article, a front-end system for automatically generating and processing photomask orders is presented, which can be installed as separate programs on a computer system or operate as a single software package performing multiple functions.
Abstract: The present invention relates to a comprehensive front-end method and system for automatically generating and processing photomask orders. This method and system includes two separate, but related software components. The first software component of the present invention is used to generate a photomask order in a specified format. The second software component of the present invention processes at least a portion of the photomask order (which was generated using the first software component) into a substantially ready-to-write jobdeck file and/or a substantially ready-to-write inspection file, which in turn is transferred to a remote photomask manufacturer's system to manufacture a photomask. These software components can be installed as separate programs on a computer system or operate as a single software package performing multiple functions.

Patent
Daniel S. Abrams, David Irby1
06 Oct 2005
TL;DR: In this article, contours are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern, and simplified patterns or blocks may be simplified for mask manufacturing.
Abstract: Photomask patterns are represented using contours defined by mask functions or other formats. Given target pattern, contours may be optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern. Optimized patterns or blocks may be simplified for mask manufacturing.

Patent
08 Apr 2005
TL;DR: A mask blank and photomask for exposure light having a wavelength of 300 nm or is less described having an improved chemical durability in particular with respect to alkaline cleaning procedures is described in this paper.
Abstract: A mask blank and photomask for exposure light having a wavelength of 300 nm or is less described having an improved chemical durability in particular with respect to alkaline cleaning procedures. In particular, a mask blank and photomask comprise an additional ultra thin protection layer provided on a silicon and/or aluminum containing layer.

Journal ArticleDOI
TL;DR: In this article, a multibeam interference lithography-based multi-scale photomask that combines two imaging elements (microlens arrays and clear windows) in one structure is presented.
Abstract: Photolithographic masks are key components in the fabrication process of patterned substrates for various applications. Different patterns generally require different photomasks, whose total cost is high for the multilevel fabrication of three-dimensional microstructures. We developed a photomask that combines two imaging elements—microlens arrays and clear windows—in one structure. Such structures can be produced using multibeam interference lithography. We demonstrate their application as multipattern photomasks; that is, by using the same photomask and simply adjusting (i) the illumination dose, (ii) the distance between the mask and the photoresist film, and (iii) the tone of photoresist, we are able to create a variety of different microscale patterns with controlled sizes, geometries, and symmetries that originate from the lenses, clear windows, or their combination. The experimental results agree well with the light field calculations.

Patent
18 Aug 2005
TL;DR: In this article, a method for manufacturing a high quality photomask blank having extremely little defect by easily and reliably removing a foreign matter or the like depositing on the surface of a light transmitting substrate (the principal surface and the end faces comprising side faces and chamfered faces).
Abstract: PROBLEM TO BE SOLVED: To manufacture a high-quality photomask blank having extremely little defect by easily and reliably removing a foreign matter or the like depositing on the surface of a light transmitting substrate (the principal surface and the end faces comprising side faces and chamfered faces). SOLUTION: The method for manufacturing a photomask blank includes: cleaning the surface of a transparent substrate 1; and then forming a thin film as a transfer pattern to be transferred to a transfer material on the surface of the transparent substrate, wherein the surface of the transparent substrate is cleaned by carrying out surface improvement process to improve wettability of the surface of the transparent substrate by using, for example, a UV irradiation apparatus, and then the end faces of the surface of the transparent substrate are cleaned by using an end face cleaning apparatus, afterward the principal surface is subjected to at least one of scrub cleaning by using a cleaning tool, two-fluid spray cleaning by using a two-fluid spray nozzle 13, ultrasonic cleaning by using a ultrasonic cleaning nozzle 14, or the like. COPYRIGHT: (C)2005,JPO&NCIPI

Patent
Shigeki Nojima1
08 Jul 2005
TL;DR: In this paper, a semiconductor integrated circuit (IC) pattern verification method is described, where the simulation pattern and the design pattern are compared to detect a first difference value, and the pattern shapes at the error candidates are compared.
Abstract: A semiconductor integrated circuit pattern verification method includes executing simulation to obtain a simulation pattern to be formed on a substrate on the basis of a semiconductor integrated circuit design pattern, comparing the simulation pattern and the design pattern that is required on the substrate to detect a first difference value, extracting error candidates at which the first difference value is not less than a first predetermined value, comparing pattern shapes at the error candidates to detect a second difference value, combining, into one group, patterns whose second difference values are not more than a second predetermined value, and extracting a predetermined number of patterns from each group and verifying error candidates of the extracted patterns.

Patent
27 Jan 2005
TL;DR: In this article, a method for fabricating a photomask includes providing a filmstack having a molybdenum layer and a light-shielding layer in a processing chamber.
Abstract: Methods for fabricating a photomask are disclosed herein. In one embodiment, a method for fabricating a photomask includes providing a filmstack having a molybdenum layer and a light-shielding layer in a processing chamber, patterning a first resist layer on the light-shielding layer, etching the light-shielding layer using the first resist layer as an etch mask, and etching the molybdenum layer using the patterned light-shielding layer and the patterned first resist layer as a composite mask.

Patent
13 Aug 2005
TL;DR: In this paper, the transmittance function was used as a compensator for lens imperfections in a projection lithography tool, and a photomask pattern was synthesized from the projected diffraction image by minimizing differences between the target pattern and another pattern.
Abstract: An apparatus and method of compensating for lens imperfections in a projection lithography tool, includes extracting from a diffraction image created by the projection lithography tool a lens transmittance function, and then using the extracted lens transmittance function as a compensator in the lithography projection tool. Another preferred apparatus and method of synthesizing a photomask pattern includes obtaining a phase and an amplitude of a transmittance function of an imaging system; forming a computational model of patterning that includes the transmittance function of the imaging system; and then synthesizing a mask pattern from a given target pattern, by minimizing differences between the target pattern and another pattern that the computational model predicts the synthesized mask pattern will form on a wafer.

Proceedings ArticleDOI
06 May 2005
TL;DR: In this article, the authors present a proof-of-concept system using an array of zone plates, and the Silicon Light Machines Grating Light Valve (GLVTM) as the light modulator.
Abstract: Zone-Plate-Array Lithography (ZPAL) is an optical-maskless-lithography technique, in which an array of tightly focused spots is formed on the surface of a substrate by means of an array of high-numerical-aperture zone plates. The substrate is scanned while an upstream spatial-light modulator, enabling "dot-matrix" style writing, modulates the light intensity in each spot. We have built a proof-of-concept system using an array of zone plates, and the Silicon Light Machines Grating Light Valve (GLVTM) as the light modulator. We have demonstrated fully multiplexed writing, multilevel alignment and resolution corresponding to k1 < 0.3. This system currently operates at l = 400nm and utilizes well-known I-line processes. Diffractive optics such as zone plates offer significant advantages over refractive approaches since near-ideal performance is achieved on axis, reliable planar fabrication techniques are used, costs are low, and the approach can be readily scaled to shorter wavelengths. In this paper, we also developed models and analyzed the cost-of-ownership of maskless lithography (ZPAL) versus that for optical-projection lithography (OPL). In this context, we propose the use of an effective throughput to consider the photomask delivery times in the case of OPL. We believe that ZPAL has the potential to become the most practical and cost-effective method of maskless lithography, enabling circuit designers to fully exploit their creativity, unencumbered by the constraints of mask-based lithography. This may revolutionize custom circuit design as well as research in electronics, NEMS, microphotonics, nanomagnetics and nanoscale science and engineering.© (2005) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
Sabine Rapp1, Thies Knudsen1
04 Mar 2005
TL;DR: In this article, a process for preparing a flexographic printing plate comprising providing a photosensitive element comprising a support and at least one photopolymerizable layer, providing a photomask adjacent the photopolymizable layer opposite the support, exposing the photo-sensitive element with ultraviolet radiation between 200 and 300 nm through the photomasks, and treating the exposed photo-ensitive element to remove unpolymerized areas, thereby forming a relief surface suitable for printing.
Abstract: A process for preparing a flexographic printing plate comprising providing a photosensitive element comprising a support and at least one photopolymerizable layer, providing a photomask adjacent the photopolymerizable layer opposite the support, exposing the photosensitive element with ultraviolet radiation between 200 and 300 nm through the photomask, exposing the photosensitive element with ultraviolet radiation between 310 and 400 nm through the photomask to photopolymerize areas of the photopolymerizable layer, and treating the exposed photosensitive element to remove unpolymerized areas, thereby forming a relief surface suitable for printing.

Patent
Daniel S. Abrams, David Irby1
06 Oct 2005
TL;DR: In this paper, contours are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern, and simplified patterns or blocks may be simplified for mask manufacturing.
Abstract: Photomask patterns are represented using contours defined by mask functions or other formats. Given target pattern, contours may be optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern. Optimized patterns or blocks may be simplified for mask manufacturing.