scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2006"


Patent
30 Oct 2006
TL;DR: In this article, a method and apparatus for etching photomasks is described, which comprises a process chamber having a support pedestal adapted for receiving a photomask, an ion neutral shield is disposed above the pedestal and a deflector plate assembly is provided above the ion-neutral shield.
Abstract: A method and apparatus for etching photomasks is provided herein. In one embodiment, the apparatus comprises a process chamber having a support pedestal adapted for receiving a photomask. An ion-neutral shield is disposed above the pedestal and a deflector plate assembly is provided above the ion-neutral shield. The deflector plate assembly defines a gas flow direction for process gases towards the ion-neutral shield, while the ion-neutral shield is used to establish a desired distribution of ion and neutral species in a plasma for etching the photomask.

222 citations


Patent
16 Aug 2006
TL;DR: In this paper, a technique for determining when the result of optical proximity correction will fail to meet the design requirements for printability is presented, without having to perform optical proximity corrections, and a method for checking the printability of a target layout proposed for defining the photomask is presented.
Abstract: A technique for determining, without having to perform optical proximity correction, when the result of optical proximity correction will fail to meet the design requirements for printability. A disclosed embodiment has application to a process for producing a photomask for use in the printing of a pattern on a wafer by exposure with optical radiation to optically image the photomask on the wafer. A method is set forth for checking the printability of a target layout proposed for defining the photomask, including the following steps: deriving a system of inequalities that expresses a set of design requirements with respect to the target layout; and checking the printability of the target layout by determining whether the system of inequalities is feasible.

135 citations


Patent
28 Jul 2006
TL;DR: In this article, a method and apparatus for process integration in the manufacture of a photomask is described, including a vacuum transfer chamber coupled with at least one hard mask deposition chamber and a plasma chamber configured for etching chromium.
Abstract: A method and apparatus for process integration in manufacture of a photomask are disclosed. In one embodiment, a cluster tool suitable for process integration in manufacture of a photomask including a vacuum transfer chamber having coupled thereto at least one hard mask deposition chamber and at least one plasma chamber configured for etching chromium. In another embodiment, a method for process integration in manufacture of a photomask includes depositing a hard mask on a substrate in a first processing chamber, depositing a resist layer on the substrate, patterning the resist layer, etching the hard mask through apertures formed in the patterned resist layer in a second chamber; and etching a chromium layer through apertures formed in the hard mask in a third chamber.

80 citations


Journal ArticleDOI
TL;DR: In this paper, the first results on super-resolution femtosecond laser lithography were presented, which show great potential for future applications and can provide a potential solution for the photomask cost issue.
Abstract: Development of maskless lithography techniques can provide a potential solution for the photomask cost issue. Furthermore, it could open a market for small-scale manufacturing applications. Since femtosecond lasers have been found suitable for processing of a wide range of materials with sub-micrometer resolution – whereas the limit of achievable structure sizes is predicted to be below 100 nm – it is attractive to use this technique for maskless lithography. In this paper, we present the first results on super-resolution femtosecond laser lithography, which show great potential for future applications.

63 citations


Patent
13 Sep 2006
TL;DR: In this article, contours are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern, using mask functions.
Abstract: Photomask patterns are represented using contours defined by mask functions. Given target pattern, contours are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern. Optimization utilizes 'merit function' for encoding aspects of photolithographic process, preferences relating to resulting pattern (e.g. restriction to rectilinear patterns), robustness against process variations, as well as restrictions imposed relating to practical and economic manufacturability of photomasks.

57 citations


Journal ArticleDOI
TL;DR: The main technological challenges for chromium (Cr) etch occur on (isolated) dark features of a high load photomask due to the etch critical dimension (CD) bias dependence on the local loading as discussed by the authors.
Abstract: Photomask plasma etching was thoroughly reviewed over wide topics including history, equipment, etchant, absorbers, phase shifters, thermodynamics, and kinetics. Plasma etch obtained industrial applications for photomask fabrication in the 1990s and presently is a critical fabrication step for the “enabling” photomask industry. Among all types of photomasks (binary, embedded attenuated phase-shift mask, and alternating aperture phase-shift mask), chromium (Cr) containing material etching has been the basis and fundamental for all photomask etches. The main technological challenges for Cr etch occur on (isolated) dark features of a high load photomask due to the etch critical dimension (CD) bias dependence on the local loading. It determines the CD features on the Cr layer, phase shifter MoSi layer, and fused silica (quartz) layer. The CD deviation on pattern layers from the nominal value has been a challenge, especially for the Cr state-of-the-art 65nm node photomasks even though data sizing exists. Induc...

55 citations


Patent
26 Dec 2006
TL;DR: In this paper, the problem of providing a mask blank suitable to a photomask for FPD was addressed, where the mask blank has a substrate consisting of a light shield film and an upper-layer film.
Abstract: PROBLEM TO BE SOLVED: To provide a mask blank suitable to a photomask for FPD, and the photomask. SOLUTION: The mask blank 10 is for manufacturing an FPD device, and has a substrate 12, a light shield film 14 formed on the substrate 12 by using metal silicide as a material, and an upper-layer film 16 formed on the light shield film 14 by using oxidized or oxynitrided metal silicide as a material. The light shield film 14 and upper-layer film 16 are films to be wet-etched by using an etching mask obtained by patterning a resist film 18 formed on the upper-layer film 16, and the resist film 18 is formed by discharging resist liquid onto the upper-layer film 16 from a nozzle having a resist liquid supply hole extending in one direction and also simultaneously moving the nozzle in a direction crossing the one direction relatively to the upper-layer film surface, the upper-layer film 16 being 50 to 300Å thick. COPYRIGHT: (C)2008,JPO&INPIT

53 citations


Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this article, the use of evanescent wave lithography (EWL) has been employed for 26nm resolution at 1.85NA using a 193nm ArF excimer laser wavelength to record images in a photoresist with a refractive index of 1.71.
Abstract: New applications of evanescent imaging for microlithography are introduced. The use of evanescent wave lithography (EWL) has been employed for 26nm resolution at 1.85NA using a 193nm ArF excimer laser wavelength to record images in a photoresist with a refractive index of 1.71. Additionally, a photomask enhancement effect is described using evanescent wave assist features (EWAF) to take advantage of the coupling of the evanescent energy bound at the substrate-absorber surface, enhancing the transmission of a mask opening through coupled interference.

51 citations


Journal ArticleDOI
TL;DR: In this paper, a CO2 laser scriber was used to perform direct writing ablation of quartz, borofloat and pyrex substrates for the development of microfluidic chips and cell chips.
Abstract: This paper uses a widely available CO2 laser scriber (λ = 10.6 µm) to perform the direct-writing ablation of quartz, borofloat and pyrex substrates for the development of microfluidic chips and cell chips. The surface quality of the ablated microchannels and the presence of debris and distortion are examined by scanning electron microscopy, atomic force microscopy and surface profile measurement techniques. The developed laser ablation system provides a versatile and economic approach for the fabrication of glass microfluidic chips with crack-free structures. In the laser writing process, the desired microfluidic patterns are designed using commercial computer software and are then transferred to the laser scriber to ablate the trenches. This process eliminates the requirement for corrosive chemicals and photomasks, and hence the overall microchip development time is limited to less than 24 h. Additionally, since the laser writing process is not limited by the dimensions of a photomask, the microchannels can be written over a large substrate area. The machining capability and versatility of the laser writing system are demonstrated through its application to the fabrication of a borofloat microfluidic chip and the writing of a series of asymmetric trenches in a microwell array. It is shown that the minimum attainable trench width is 95 µm and that the maximum trench depth is 225 µm. The system provides an economic and powerful means of rapid glass microfluidic chip development. A rapid cell-patterning method based on this method is also demonstrated.

47 citations


Patent
06 Oct 2006
TL;DR: In this article, contours defined by mask functions are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern.
Abstract: Photomask patterns are represented using contours defined by mask functions. Given target pattern (901), contours are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern. Optimization (904, 906, 908, 909) utilizes ' merit function' (907) for encoding aspects of photolithographic process, preferences relating to resulting pattern (e.g. restriction to rectilinear patterns), robustness against process variations, as well as restrictions imposed relating to practical and economic manufacturabiliry of photomasks.

43 citations


Journal ArticleDOI
TL;DR: An empirical study of the economics of manufacturing photomasks concludes that the uncontrolled growth of optical proximity effect correction and resolution enhancement techniques is driving up the cost of pattern generation and mask inspection to levels that threaten the profitability of photomask manufacturing as discussed by the authors.
Abstract: An empirical study of the economics of manufacturing photomasks concludes that the uncontrolled growth of optical proximity effect correction and resolution enhancement techniques is driving up the cost of pattern generation and mask inspection to levels that threaten the profitability of photomask manufacturing. The intrinsic cost of some leading edge photomasks has already exceeded the price that customers are willing to pay for them. A model of the lifecycle of photomask manufacturing, developed from interviews involving the 1990-to-2005 operations of six mask shops and a survey of seven photomask manufacturers, shows that design for manufacturability (DFM) constitutes the most promising approach for alleviating this market impasse. Unilateral action by mask shops to increase their capital productivity is necessary but insufficient and perhaps unaffordable. DFM solutions will require the majority of participants in the lithography value chain to collaborate according to a volatile demand schedule that is driven by semiconductor manufacturers

Journal ArticleDOI
TL;DR: In this article, a self-written waveguide (SWW) array was successfully fabricated on vertical-cavity surface-emitting laser (VCSEL) diodeemitting windows.
Abstract: This study is focused on a self-written waveguide (SWW) fabricated using a new photomask transfer method. With the proposed photomask transfer method, an SWW array was successfully fabricated on vertical-cavity surface-emitting laser (VCSEL) diode-emitting windows. It was found that the output power of a VCSEL having the SWW increased as compared to that of VCSEL without the SWW. We have confirmed that the optical output is independent of the placement of the emitting window inside the SWW. Optical coupling between an SWW and a graded-index multimode fiber was also demonstrated

Journal ArticleDOI
TL;DR: In this paper, the authors evaluated the performances of Cr and amorphous Si films as light absorber materials for photomasks of near-field lithography on the basis of numerical studies using finite-difference time domain method and experimental results of fabrication process.
Abstract: We evaluated the performances of Cr and amorphous Si (a-Si) films as light absorber materials for photomasks of near-field lithography on the basis of numerical studies using finite-difference time domain method and experimental results of fabrication process. With exposure experiments using both a Cr mask and an a-Si mask, fine performance of an a-Si near-field mask was demonstrated with respect to resolution. A half-pitch 32nm resist pattern of 120nm high was fabricated through the near-field lithography using the a-Si mask and a subsequent trilayer resist process.

Patent
07 Dec 2006
TL;DR: A titania-doped quartz glass containing 3-12 wt % of titania at a titania concentration gradient less than or equal to 0.01 Wt %/μm and having an apparent transmittance to 440 nm wavelength light of at least 30% at a thickness of 6.35 mm is of such homogeneity that it provides a high surface accuracy as required for EUV lithographic members as mentioned in this paper.
Abstract: A titania-doped quartz glass containing 3-12 wt % of titania at a titania concentration gradient less than or equal to 0.01 wt %/μm and having an apparent transmittance to 440 nm wavelength light of at least 30% at a thickness of 6.35 mm is of such homogeneity that it provides a high surface accuracy as required for EUV lithographic members, typically EUV lithographic photomask substrates.

Patent
Ashok Kumar1
12 Sep 2006
TL;DR: In this paper, a method and apparatus for process integration in the manufacture of a photomask is described, including a vacuum transfer chamber coupled with at least one hard mask deposition chamber and a plasma chamber configured for etching chromium.
Abstract: A method and apparatus for process integration in manufacture of a photomask are disclosed. In one embodiment, a cluster tool suitable for process integration in manufacture of a photomask including a vacuum transfer chamber having coupled thereto at least one hard mask deposition chamber and at least one plasma chamber configured for etching chromium. In another embodiment, a method for process integration in manufacture of a photomask includes depositing a hard mask on a substrate in a first processing chamber, depositing a resist layer on the substrate, patterning the resist layer, etching the hard mask through apertures formed in the patterned resist layer in a second chamber; and etching a chromium layer through apertures formed in the hard mask in a third chamber.

Journal ArticleDOI
TL;DR: A maskless microscope projection lithography system (MPLS), in which photomasks have been replaced by a Digital Micromirror Device type spatial light modulator (DMD™, Texas Instruments), which may be employed in standard photolithography.
Abstract: We present a maskless microscope projection lithography system (MPLS), in which photomasks have been replaced by a Digital Micromirror Device type spatial light modulator (DMD, Texas Instruments). Employing video projector technology high resolution patterns, designed as bitmap images on the computer, are displayed using a micromirror array consisting of about 786000 tiny individually addressable tilting mirrors. The DMD, which is located in the image plane of an infinity corrected microscope, is projected onto a substrate placed in the focal plane of the microscope objective. With a 5x(0.25 NA) Fluar microscope objective, a fivefold reduction of the image to a total size of 9 mm2 and a minimum feature size of 3.5 microns is achieved. Our system can be used in the visible range as well as in the near UV (with a light intensity of up to 76 mW/cm2 around the 365 nm Hg-line). We developed an inexpensive and simple method to enable exact focusing and controlling of the image quality of the projected patterns. Our MPLS has originally been designed for the light-directed in situ synthesis of DNA microarrays. One requirement is a high UV intensity to keep the fabrication process reasonably short. Another demand is a sufficient contrast ratio over small distances (of about 5 microns). This is necessary to achieve a high density of features (i.e. separated sites on the substrate at which different DNA sequences are synthesized in parallel fashion) while at the same time the number of stray light induced DNA sequence errors is kept reasonably small. We demonstrate the performance of the apparatus in light-directed DNA chip synthesis and discuss its advantages and limitations.

Patent
02 Oct 2006
TL;DR: In this article, a method for synthesizing a photomask data set from a given target layout is presented, including the following steps: (a) providing a set of target polygons for the target layout; fitting a smooth curve to a target polygon of the set of targets, the curve having a setof etch-target points; and (b) moving the etch target points according to a model of an etch process to produce a set.
Abstract: A method for synthesizing a photomask data set from a given target layout, including the following steps: (a) providing a set of target polygons for the target layout; (b) fitting a smooth curve to a target polygon of the set of target polygons, the curve having a set of etch-target points; (c) moving the etch target points according to a model of an etch process to produce a set of lithography-target points; and (d) synthesizing a photomask data set based on a model of a lithography process and the set of lithography-target points.

Patent
Ho-Chul Kim1
03 Jan 2006
TL;DR: In this paper, a system and methods for detecting focus variation in a lithographic process using photomasks having test patterns adapted to print test features with critical dimensions that can be measured and analyzed to determine magnitude and direction of defocus from a best focus position of an exposure tool during the process.
Abstract: Systems and methods are provided for detecting focus variation in a lithographic process using photomasks having test patterns adapted to print test features with critical dimensions that can be measured and analyzed to determine magnitude and direction of defocus from a best focus position of an exposure tool during the lithographic process.

Patent
Akio Misaka1
04 Apr 2006
TL;DR: In this paper, a photomask has a semi-light-shielding portion having a lightshielding property and a light-transmitting portion surrounded by the semilight shield, and a peripheral portion positioned in the periphery of the light transmitting portion.
Abstract: A photomask has a semi-light-shielding portion having a light-shielding property and a light-transmitting portion surrounded by the semi-light-shielding portion, and a peripheral portion positioned in the periphery of the light-transmitting portion. The semi-light-shielding portion and the light-transmitting portion transmit exposure light in the same phase, whereas the peripheral portion transmits exposure light in a phase opposite to that of the light-transmitting portion.

Journal ArticleDOI
TL;DR: In this paper, the fabrication of multilevel SU-8 structures using a single photolithographic masking step is described, which eliminates the pixelation problems encountered when using gray-scale masks generated using a black-and-white laser printer.
Abstract: The fabrication of multilevel SU-8 structures using a single photolithographic masking step is described. Preliminary data are presented on the use of photolithographic masks, containing millimeter-scale colored patterns, printed on transparent films using a standard color laser printer. The different colors printed on the photomask are shown to have differences in their UV absorptions, and hence different structure levels can be achieved from a single exposure. This method eliminates the pixelation problems encountered when using gray-scale masks (without employing photoreduction techniques) generated using a black-and-white laser printer. For research applications requiring rapid prototyping and fast turnaround times for large-scale features, this technique offers a cost-effective and time-efficient alternative to current three-dimensional lithography methods, which typically make use of multiple binary masks, alignment procedures, and exposures. Future applications will include the fabrication of textured PDMS surfaces and PDMS microfluidic substrates, cast from SU-8 molds processed using this technique.

Patent
26 Sep 2006
TL;DR: In this article, a method for mask blanks with anti reflective coatings comprising at least two sublayers was proposed. But this method was only applicable to binary and phase shift masks with an exposure wavelength of 300 nm or less.
Abstract: The present invention relates to mask blanks with anti reflective coatings comprising at least two sublayers. Such bilayer or multilayer anti reflective coatings are advantageous for binary and phase shift mask blanks for use in lithography for an exposure wavelength of 300 nm or less with improved anti reflection properties; and to EUVL mask blanks having improved inspection properties.

Patent
20 Mar 2006
TL;DR: In this article, the photomask is heat treated to remove residual ions on a surface thereof and to induce curing and oxidation of Cr and MoSiON layers, thereby preventing diffusion of the ions.
Abstract: Disclosed herein is a device and a method of cleaning a photomask, which prevents haze from being generated on a surface of the photomask during a photolithography process. The photomask is heat treated to remove residual ions on a surface thereof and to induce curing and oxidation of Cr and MoSiON layers, thereby preventing diffusion of the ions. Etching of Cr and MoSiON layers due to a cleaning process is suppressed in order to significantly reduce a change in phase and transmissivity of optical properties of Cr and MoSiON.

Journal ArticleDOI
TL;DR: In this article, a maskless microscope projection lithography system (MPLS) is presented, in which photomasks have been replaced by a Digital Micromirror Device type spatial light modulator (DMD™, Texas Instruments).
Abstract: We present a maskless microscope projection lithography system (MPLS), in which photomasks have been replaced by a Digital Micromirror Device type spatial light modulator (DMD™, Texas Instruments). Employing video projector technology high resolution patterns, designed as bitmap images on the computer, are displayed using a micromirror array consisting of about 786 000 tiny individually addressable tilting mirrors. The DMD, which is located in the image plane of an infinity corrected microscope, is projected onto a substrate placed in the focal plane of the microscope objective. With a 5× [0.25 NA (numerical aperture)] Fluar microscope objective, a fivefold reduction of the image to a total size of 9mm2 and a minimum feature size of 3.5μm is achieved. The ultrahigh pressure lamp of a video projector is a cheap, durable, and powerful alternative to the mercury arc lamps commonly used in lithography applications. The MPLS may be employed in standard photolithography. We have successfully produced patterns i...

Patent
02 Mar 2006
TL;DR: In this paper, a photomask blank has a light-shielding film and an antireflection film 14, consisting mainly of chromium, on a transparent substrate.
Abstract: PROBLEM TO BE SOLVED: To provide a photomask blank excellent in chemical resistance that can suppress changes in the optical characteristics of a chromium-based antireflection film by chemical cleaning. SOLUTION: The photomask blank has a light-shielding film1 3 and an antireflection film 14, consisting mainly of chromium, on a transparent substrate 11. The composition in the surface region of the antireflection film 14 comprises 3 to 30 atom% of nitrogen content, and 50 to 65 atom% of total content of nitrogen and oxygen, and the surface region is specified to a depth of 3 nm or larger, preferably 5, nm or larger. In the surface region of the antireflection film 14, appropriate amount of oxygen and nitrogen is contained, thereby the film has functions as an antireflection film. By controlling the nitrogen content to the aforementioned range and controlling the total content of nitrogen and oxygen to be 50 atom% or higher, chemical resistance of the surface of the antireflection film 14 is improved. The content of nitrogen and oxygen is controlled to be 65% or lower so as to suppress degradation in the cross-sectional profile, at patterning. COPYRIGHT: (C)2007,JPO&INPIT

Journal ArticleDOI
TL;DR: Spatial light modulators (SLMs) designed to replace photomasks for optical lithography have been designed, fabricated, and tested as discussed by the authors, and they are fabricated with alternating polycrystalline Si and sacrificial SiO2 layers to dimensions as small as 150nm.
Abstract: Spatial light modulators (SLMs) designed to replace photomasks for optical lithography have been designed, fabricated, and tested. These microelectromechanical devices are fabricated with alternating polycrystalline Si and sacrificial SiO2 layers that are patterned by a 193nm wavelength scanner to dimensions as small as 150nm. Aerial image simulations were used to define the mechanical requirements of the devices. Piston motion of electrically actuated devices was measured with an optical profilometer. The measurements were fit to a simple equation to within 1nm precision, which is adequate for defining 50nm features lithographically. Transient response measurements show that one version of the SLM responds to actuation as quickly as 20μs, fast enough for current 193nm wavelength excimer laser sources.

Journal ArticleDOI
TL;DR: In this article, a photo-mask carrying various patterns of multiple layers obtained from slicing a three dimensional (3D) micro-parts was employed for the lithography process, and solid layers with sharp edges were formed from the liquid photopolymer identified.
Abstract: Rapid prototyping (RP) is widely used for part fabrication in the normal scale. For fabrication in micro-scale applications such as integrated circuit (IC), micro-electro-mechanical system (MEMS), methods such as surface and bulk machining are commonly used. This paper introduces a micro-fabrication technique using the RP principle, i.e., layered manufacturing, combined with mask-based micro-lithography, which is usually used in semiconductor industry. An ultraviolet (UV) excimer laser at the wavelength of 248 nm was used as the light source. A single piece of photo-mask carrying various patterns of multiple layers obtained from slicing a three dimensional (3D) micro-parts was employed for the lithography process. The preliminary results show that a certain RP photopolymer can be applied for the micro-RP, and solid layers with sharp edges can be formed from the liquid photopolymer identified. Using a unique alignment technique, a five layered gear of 1 mm in diameter has been successfully fabricated using the proposed method .

Patent
30 Nov 2006
TL;DR: In this paper, an inspection method was proposed to obtain a first optical characteristic of a projection optical system by transferring an image of an aberration measurement unit of a photomask on a first resist film coated on first wafer by use of a first polarized exposure light, and calculating a difference between the first and second optical characteristics.
Abstract: An inspection method, includes obtaining a first optical characteristic of a projection optical system by transferring an image of an aberration measurement unit of a photomask on a first resist film coated on a first wafer by use of a first polarized exposure light; obtaining a second optical characteristic of the projection optical system by transferring the image of the aberration measurement unit on a second resist film coated on a second wafer by use of a second exposure light having a polarization state different from the first exposure light; and calculating a difference between the first and second optical characteristics.

Patent
13 Mar 2006
TL;DR: In this paper, a method and an apparatus for irradiating a measurement sample with an energy beam, a pattern being formed in the measurement sample, providing an optical system for detecting transmitted energy beam or reflected energy beam from the measurement samples, obtaining a pattern image, and comparing design data of the pattern and an image of the obtained image pattern to inspect a defect.
Abstract: A method and an apparatus for irradiating a measurement sample with an energy beam, a pattern being formed in the measurement sample, providing an optical system for detecting transmitted energy beam or reflected energy beam from the measurement sample, obtaining a pattern image, and comparing design data of the pattern and an image of the obtained image pattern to inspect a defect of the pattern formed in the measurement sample, wherein the measurement sample is a so-called photomask, a design pattern produced in producing the photomask is used as the design data of the pattern, and, in a procedure of performing inspection by comparing the obtained image and the design data, the design data is converted into an image (hereinafter referred to as wafer image) by a proper method, the wafer image being formed through a stepper used for actually forming the pattern of the photomask on a wafer, the obtained image actually measured is simultaneously converted into a wafer image by a proper method, and the defect is detected by comparing both wafer images to each other

Patent
29 Dec 2006
TL;DR: The pellicle may include a wire mesh with a square or hexagonal geometric configuration and a thin film of a material with a high Young's modulus may be coated on at least one surface of the wire mesh as discussed by the authors.
Abstract: Embodiments of a pellicle, methods of fabrication and methods of use in extreme ultraviolet (EUV) photolithography are disclosed. The pellicle may include a wire mesh with a square or hexagonal geometric configuration. A thin film of a material with a high Young's modulus may be coated on at least one surface of the wire mesh. A method of fabrication may include forming at least one sacrificial layer on a surface of the wire mesh, forming a thin film on another surface of the wire mesh, and removing the sacrificial layer to form a pellicle. A method of use may include positioning a pellicle relative to a photomask to protect the photomask from particulate accumulation during an EUV photolithography process.

Patent
15 Sep 2006
TL;DR: In this article, the authors describe a method and apparatus for measuring the etch depth in a semiconductor photomask processing system using a measurement tool in a measurement cell coupled to the processing system.
Abstract: The embodiments of the invention relate to a method and apparatus for measuring the etch depth in a semiconductor photomask processing system. In one embodiment, a method for etching a substrate includes etching a transparent substrate in an etch chamber coupled to a vacuum transfer chamber of a processing system, transferring the transparent substrate to a measurement cell coupled to the processing system, and measuring at least one of etch depth or critical dimension using a measurement tool in the measurement cell.