scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2010"


Book ChapterDOI
TL;DR: The described protocol is a simple method to make protein micropatterns with a micron size resolution based on the use of a photomask with microfeatures to locally irradiate with deep UV light an antifouling substrate, making it locally adsorbing for proteins.
Abstract: The described protocol is a simple method to make protein micropatterns with a micron size resolution. It can be applied to control cell shape and adhesive geometry, and also for any other assay requiring protein patterning. It is based on the use of a photomask with microfeatures to locally irradiate with deep UV light (below 200 nm) an antifouling substrate, making it locally adsorbing for proteins. The entire process can be subdivided into three main parts. The first part describes the design of a photomask. The second part describes the passivation (antifouling treatment) of the substrate, its irradiation, and the binding of proteins. The entire process can be completed in a couple of hours. It requires no expensive equipment and can be performed in any biology lab. The last part describes cell deposition on the micropatterned substrate. We also provide a discussion with pitfalls and alternative techniques adapted to various substrates, including silicone elastomers.

128 citations


Patent
29 Dec 2010
TL;DR: In this article, a photomask includes a pattern having a plurality of features, in an example, dummy line features, and the pattern includes a first region being in the form of a localized on-grid array and a second region where at least one of the features has an increased width.
Abstract: Provided is a photolithography apparatus including a photomask. The photomask includes a pattern having a plurality, of features, in an example, dummy line features. The pattern includes a first region being in the form of a localized on-grid array and a second region where at least one of the features has an increased width. The apparatus may include a second photomask which may define an active region. The feature with an increased width may be adjacent, and outside, the defined active region.

123 citations


Patent
Chang Ching-Hsu1, Hung-Chun Wang1, Boren Luo1, Wen-Chun Huang1, Ru-Gun Liu1 
17 Aug 2010
TL;DR: In this paper, a planarizing process is used to remove the absorber layer above the top surface of the hard mask layer and form an absorber in the opening, wherein the absorbers is substantially co-planar with the top of the mask.
Abstract: Embodiments of EUV photomasks and methods for forming a EUV photomask are provided. The method comprises providing a substrate, a reflective layer, a capping layer, a hard mask layer, and forming an opening therein. An absorber layer is then filled in the opening and over the top surface of the hard mask layer. A planarizing process is provided to remove the absorber layer above the top surface of the hard mask layer and form an absorber in the opening, wherein the absorber is substantially co-planar with the top surface of the hard mask layer.

112 citations


Journal ArticleDOI
TL;DR: The Talbot effect is utilized for micro-fabrication of periodic microstructures via proximity lithography in a mask aligner and a novel illumination system, referred to as MO Exposure Optics, allows to control the effective source shape and accordingly the angular spectrum of the illumination light.
Abstract: The Talbot effect is utilized for micro-fabrication of periodic microstructures via proximity lithography in a mask aligner. A novel illumination system, referred to as MO Exposure Optics, allows to control the effective source shape and accordingly the angular spectrum of the illumination light. Pinhole array photomasks are employed to generate periodic high-resolution diffraction patterns by means of self-imaging. They create a demagnified image of the effective source geometry in their diffraction pattern which is printed to photoresist. The proposed method comprises high flexibility and sub-micron resolution at large proximity gaps. Various periodic structures have been generated and are presented.

77 citations


Journal ArticleDOI
TL;DR: The stochastic gradient descent approach, which is a useful tool in machine learning, is adopted to train the mask design and simulation shows that the proposed algorithm is effective in producing robust masks.
Abstract: Inverse lithography technology (ILT) synthesizes photomasks by solving an inverse imaging problem through optimization of an appropriate functional. Much effort on ILT is dedicated to deriving superior masks at a nominal process condition. However, the lower k1 factor causes the mask to be more sensitive to process variations. Robustness to major process variations, such as focus and dose variations, is desired. In this paper, we consider the focus variation as a stochastic variable, and treat the mask design as a machine learning problem. The stochastic gradient descent approach, which is a useful tool in machine learning, is adopted to train the mask design. Compared with previous work, simulation shows that the proposed algorithm is effective in producing robust masks.

77 citations


Journal ArticleDOI
TL;DR: Poly(ethylene glycol) polymer lens arrays are made by using dip-pen nanolithography to deposit nanoscale PEG features on hydrophobically modified quartz glass to pattern large areas through the use of cantilever arrays.
Abstract: Poly(ethylene glycol) (PEG) polymer lens arrays are made by using dip-pen nanolithography to deposit nanoscale PEG features on hydrophobically modified quartz glass. The dimensions of the PEG lenses are controlled by tuning dwell time and polymer molecular weight. The PEG polymer lenses on the quartz substrate act as a phase-shift photomask for fabricating subwavelength scale features, ∼100 nm in width. Depending upon UV irradiation time during the photolithography, the photoresist nanostructures can be transitioned from well-shaped (short time) to ring-shaped (long time) features. The technique can be used to pattern large areas through the use of cantilever arrays.

49 citations


05 Apr 2010
TL;DR: In this paper, the authors used a periodic toric focal conic domain (TFCD) of smectic liquid crystal (LCs) as a photomask, combining two imaging elements, microlens arrays and clear windows.
Abstract: 2010 WILEY-VCH Verlag Gmb Microlens photolithographic fabrication using self-assembled materials has attracted considerable attention in recent years because the techniques involved are very simple, inexpensive, and provide a route to the fabrication of large-area patterns. Several materials, which include colloids, hydrogels, and liquid crystals (LCs), have been used to fabricate self-assembled microlens arrays for photolithographic use. Colloidal microspheres, 3mm in diameter, were embedded in a transparent polymer membrane. These spheres acted as lenses to reduce centimeter-scale images to micrometer-scale images in the image plane, providing a simple way to produce spontaneous assembly over a large area, with the appropriate feature size, using microlens arrays optimized for visible light. Biomimetic hydrogels were also used to fabricate microlens arrays for photolithography. These systems adopted a conventional microlens system morphology, containing spherical or hemispherical geometric shapes with a homogeneous refractive index. A more advanced microlens system has used LCs for the fabrication of active devices, because the molecular orientations within a LC can be easily controlled by an external electric field. A radial distribution of the refractive index can be attained through application of an axially distributed electric field. However, previous LC-based microlens systems required complex thick LC cell structures to control the molecular orientations of the nematic LC. Such cell structures included circular hole-patterned electrodes and polymer stabilizers. In this Communication, we report a new type of microstructure for the fabrication of optically selectivemicrolens arrays. This system uses a periodic toric focal conic domain (TFCD) of smectic LCs as a photomask, combining two imaging elements, microlens arrays and clear windows. The shape and focusing mechanism of the TFCD microlens photomasks are very different from those of conventional microlens photomasks, which use spherical (or hemispherical) structures with homogeneous refractive indices. TFCD microlens photomasks have several remarkable features. First, the periodic toroid-shaped holes of the TFCD structure act as microlenses due to the intrinsic molecular orientations of each TFCD, which can focus illuminated light. The flat regions between the toroidal holes act as clear windows and do not scatter light. Second, this system uses the advantages of a graded refractive index in LCs as well as periodic microscale arrays. The ordered TFCD structures are generated through the control of themolecular orientations in the LCs on surface modified substrates. The light passing through a TFCD is refracted and focused to the center of the TFCD by the graded refractive index according to the intrinsic LC molecular orientations in a TFCD. Therefore, LC-based TFCD microlenses are optically selective for the direction of polarization of the transmitted light, when used as a photomask. Accordingly, one can obtain a variety of microscale patterns with controlled domain sizes, geometries, and symmetries, by simply adjusting the illumination dose (intensity), the size of the TFCD photomask, the tone of the photoresist, and the direction of polarization of the illuminating light source. To generate the TFCD structure, we used a simple rodlike smectic LC material containing a rigid biphenyl core and a semifluorinated tail group, which was prepared by alkylation of ethyl 4-hydroxyphenylbenzoate with 1H,1H,2H,2H,3H,3H,4H, 4H-perfluorododecyl bromide (Fig. 1a). As reported previously, this material consistently yielded a hexagonal highly ordered structure of TFCDs on the surface of a treated glass substrate. Upon cooling ( 1 8Cmin ) from the isotropic to the SmAphase, ordered TFCD domain arrays were generated over large areas. Because the small LC components had a high mobility and responded rapidly in the smectic phase, the fabrication of TFCD microlens arrays was very fast and simple relative to other soft self-assembly building blocks. We found that the generation of a uniform TFCD large-scale array on a glass substrate required only a few seconds. Figure 1b shows representative polarized optical microscopy (POM) images of the TFCD domains of smectic LCs on a flat PEI-coated glass substrate and reveals the formation of highly ordered periodic TFCDs over a large area. Each small circular domain corresponds to a single TFCD. Close inspection of the POM images of the film formed by the LC revealed that the TFCD were identical in size and were present in a hexagonal array, a characteristic typical of SmA phases under surface anisotropy conditions. [17] Each TFCD produced a characteristic Maltese cross pattern (‘‘microlens’’ region), indicating that the projection of the director field onto the plane of the substrate was radial within the area bounded by the circular base of the TFCD. Outside the circular base (the ‘‘window’’ region), the molecules were vertically aligned to the

48 citations


Patent
04 Jun 2010
TL;DR: In this paper, level-set functions are used to optimize the contours of a defined photomask, when used in photolithographic process, to print a wafer pattern faithful to the target pattern.
Abstract: Photomask patterns are represented using contours defined by level-set functions. Given target pattern, contours are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern. Optimization utilizes “merit function” for encoding aspects of photolithographic process, preferences relating to resulting pattern (e.g. restriction to rectilinear patterns), robustness against process variations, as well as restrictions imposed relating to practical and economic manufacturability of photomasks.

47 citations


Patent
20 Jan 2010
TL;DR: In this paper, a channel etch type bottom gate TFT structure was proposed to realize reduction of manufacturing cost and improvement of yield by decreasing the number of steps for manufacturing a TFT.
Abstract: In a liquid crystal display device of an IPS system, to realize reduction of manufacturing cost and improvement of yield by decreasing the number of steps for manufacturing a TFT. A channel etch type bottom gate TFT structure, where patterning of a source region and a drain region and patterning of a source wiring and a pixel electrode are carried out by the same photomask.

44 citations


Journal ArticleDOI
TL;DR: It is found that the 20 μm photomask was optimal for the formation of single-cell adherence patterns in the microchannel, which can be a powerful tool for analyzing environmental factors like cell-surface and cell-extracellular matrix contact.
Abstract: Recently, interest in single cell analysis has increased because of its potential for improving our understanding of cellular processes. Single cell operation and attachment is indispensable to realize this task. In this paper, we employed a simple and direct method for single-cell attachment and culture in a closed microchannel. The microchannel surface was modified by applying a nonbiofouling polymer, 2-methacryloyloxyethyl phosphorylcholine (MPC) polymer, and a nitrobenzyl photocleavable linker. Using ultraviolet (UV) light irradiation, the MPC polymer was selectively removed by a photochemical reaction that adjusted the cell adherence inside the microchannel. To obtain the desired single endothelial cell patterning in the microchannel, cell-adhesive regions were controlled by use of round photomasks with diameters of 10, 20, 30, or 50 μm. Single-cell adherence patterns were formed after 12 h of incubation, only when 20 and 30 μm photomasks were used, and the proportions of adherent and nonadherent cells among the entire UV-illuminated areas were 21.3%±0.3% and 7.9%±0.3%, respectively. The frequency of single-cell adherence in the case of the 20 μm photomask was 2.7 times greater than that in the case of the 30 μm photomask. We found that the 20 μm photomask was optimal for the formation of single-cell adherence patterns in the microchannel. This technique can be a powerful tool for analyzing environmental factors like cell-surface and cell-extracellular matrix contact.

34 citations


Journal ArticleDOI
TL;DR: A new class of simple, cheap and stable grayscale photomasks based on the metal-transparent-metallic-oxides systems by laser direct writing in metal films is presented and a refinement method of the films is developed, in which the nanometer size effect may play a significant role for the improvement.
Abstract: We present a new class of simple, cheap and stable grayscale photomasks based on the metal-transparent-metallic-oxides (MTMO) systems by laser direct writing in metal films. For obtaining high resolution and grainless grayscale patterns we developed a refinement method of the films, in which the nanometer size effect may play a significant role for the improvement. We propose a layered oxidation model and a grain model for the mechanism of In- and Sn-based MTMO systems. The masks have a wide application wavelength range at least from 350 to 700 nm. Three-dimensional microstructures have been successfully fabricated by using the MTMO grayscale masks.

Journal Article
TL;DR: In this paper, Mochi et al. used the SEMA TECH Berkeley Actinic Inspection Tool (AIT), an actinic microscope, to image and characterize defects on a full-field extreme ultraviolet mask.
Abstract: Actinic imaging of native and programmed defects on a full-field mask 1. Mochi*a, K. A. Goldberg a, B. La Fontaine b , A. Tchikoulaeva b , C. Holfeld c aLawrence Berkeley National Laboratory, One Cyclotron Road, Berkeley, CA, 94720, USA. bGlobal Foundries, 1050 Arques Avenue, Sunnyvale, CA, 94085, USA cGlobal Foundries Dresden Module One. KG Wilschdorfer Landstr. 101,0 1109 Dresden, Germany. ABSTRACT We describe the imaging and characterization of native defects on a full field extreme ultraviolet (EUV) mask, using several reticle and wafer inspection modes. Mask defect images recorded with the SEMA TECH Berkeley Actinic Inspection Tool (AIT), an EUV-wavelength (13.4 nm) actinic microscope, are compared with mask and printed-wafer images collected with scanning electron microscopy (SEM) and deep ultraviolet (DUV) inspection tools. We observed that defects that appear to be opaque in the SEM can be highly transparent to EUV light, and inversely, defects that are mostly transparent to the SEM can be highly opaque to EUV. The nature and composition of these defects, whether they appear on the top surface, within the multilayer coating, or on the substrate as buried bumps or pits, influences both their significance when printed, and their detectability with the available techniques. Actinic inspection quantitatively predicts the characteristics of printed defect images in ways that may not be possible with non- EUV techniques. As a quantitative example, we investigate the main structural characteristics of a buried pit defect based on EUV through- focus imaging. Keywords: Mask inspection, defects, extreme ultraviolet, EUV, actinic, lithography, microscopy 1. INTRODUCTION Mask defectivity is one of the main issues for advanced lithography in any future technology node. This is especially true for EUV lithography where the mask, the absorber pattern, and the various types of defects can have wavelength- specific optical properties. Developing reliable and accurate methods for defect inspection and imaging is an essential step towards the deployment ofEUV lithography. While DUV microscopy and SEM provide valuable information at high resolutions, their sensitivity to defects can be remarkably different from EUV-wavelength imaging, and they cannot guarantee measurements that predict EUV printing performance. Our research shows that some defects that are strongly evident in an SEM will not actually print, while others, which are faintly detected with SEM or DUV inspection, can clearly appear on the wafer. Aside from printing in photoresist, EUV aerial imaging is the only technique that provides quantitative information on the interaction between the EUV light and the blank or patterned mask surface. Following exposure in the ASML Alpha Demo Tool (ADT) at CNSE in Albany [I], New York, the reticle and its printed wafers were inspected using SEM and two KLA tools: the 2800 Series broadband and brightfield DUV/uVIVIS inspection platform and the TeraScanHR 587 reticle defect inspection system [2]. A classification system presented previously [3] separated the defects into the following categories: cleaning residue, particle, pattern defect, blank defects, and nuisance defects. In this paper we describe the imaging of native defects on a EUV mask from Global Foundries, carried out using the AIT [4], an EUV Fresnel zoneplate microscope dedicated to photomask research [5]. EUV imaging, performed with the AlT, adds new information about the optical properties of these reticle defects. Our goal was to investigate the relationship between the appearance of various defects in the different defect-imaging tools. Our observations show that it is difficult to predict the EUV response from the SEM or DUV appearance. By studying the through focus evolution of a defect's aerial image, with quantitative comparison to simulated defect images, it is possible to investigate physical characteristics (such as the apparent defect height and three-dimensional

Proceedings ArticleDOI
16 Feb 2010
TL;DR: In this article, a dielectric photo mask was used for deep reactive ion etching, and the lift-off process of the etch masks and the via-filling procedures for the lightpipes were presented.
Abstract: We report the development of new fabrication techniques for creating high aspect ratio optical lightpipes in SiO 2 layers of 10μm thickness and above. A dielectric photo mask was used for deep reactive ion etching. Our experiments show that CF 4 -based reaction gases were best for deep etching with high selectivity and etch rate. Trenches with diameters or width of 1.5μm were demonstrated, with an aspect ratio of 7.2:1 and a sidewall angle of 87.4 degrees. We also present the lift-off process of the etch masks and the via-filling procedures for the lightpipes. These structures are useful for image sensors, vertical interconnect and waveguiding applications.

Patent
10 Nov 2010
TL;DR: In this paper, a self-aligned double pattern is used to define the target layout of line features and large features for semiconductor device fabrication and generation of photomasks for patterning a target layout.
Abstract: Various embodiments of the invention provide systems and methods for semiconductor device fabrication and generation of photomasks for patterning a target layout of line features and large features. Embodiments of the invention are directed towards systems and methods using self-aligned double pattern to define the target layout of line features and large features.

Proceedings ArticleDOI
03 Feb 2010
TL;DR: In this article, a new repair process based on the novel ebeam repair tool MeRiT® HR 32 was applied on real EUV mask defects and the success of these repairs was confirmed by wafer prints.
Abstract: Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

Journal ArticleDOI
TL;DR: In this paper, a nano-photomask fabrication method using focused ion beam direct writing (FIBDW) is proposed to normalize the dwell time of each pixel of the ion beam location with respect to the contrast of designed bitmaps.

Patent
07 Jan 2010
TL;DR: In this article, a method for adjusting the geometry of photomask patterns is provided to achieve pattern doubling in subsequent layers, such adjusted pattern can be employed to achieve patterns with increased aspect ratio.
Abstract: A method for adjusting the geometry of photomask patterns is provided. Such adjusted pattern can be employed to achieve pattern doubling in subsequent layers. A patterned photoresist mask is provided over an underlayer. A polymer layer is placed over the mask. The mask is selectively trimmed to generate individual mask features having an increased aspect ratio. Subsequent pattern layers can be formed on the trimmed mask pattern to generate a hard mask having increased pattern density. The hard mask is selectively etched and the material of the trimmed mask pattern is removed. The underlayer is then etched to achieve pattern transfer from the hard mask to the underlayer to achieve a final double density pattern.

Proceedings ArticleDOI
TL;DR: In this paper, the use of UV activated media for EUV mask surface cleaning is evaluated and the effects on Ru capping layer integrity are compared against conventional cleaning methods, using roughness measurements (AFM) and reflective changes (EUV-R and optical).
Abstract: Mask defectivity is an acknowledged road block for the introduction of EUV lithography (EUVL) for manufacturing. There are significant challenges to extend the conventional methods of cleaning developed for standard 193nm optical photomask to meet the specific requirements for EUV mask structure and materials. In this work, the use of UV activated media for EUV mask surface cleaning is evaluated and the effects on Ru capping layer integrity are compared against conventional cleaning methods. Ru layer surface is analyzed using roughness measurements (AFM) and reflectivity changes (EUV-R and optical).

Patent
26 Jan 2010
TL;DR: In this paper, a rotary small-sized processing tool is put in contact with a surface of the synthetic quartz glass substrate in a contact area of 1 to 500 mm2, and is scanningly moved on the substrate surface while being rotated so as to polish the substrate surfaces.
Abstract: Disclosed is a method of processing a synthetic quartz glass substrate for a semiconductor, wherein a polishing part of a rotary small-sized processing tool is put in contact with a surface of the synthetic quartz glass substrate in a contact area of 1 to 500 mm2, and is scanningly moved on the substrate surface while being rotated so as to polish the substrate surface. When the method is applied to the production of a synthetic quartz glass such as one for a photomask substrate for use in photolithography which is important to the manufacture of ICs or the like, a substrate having an extremely excellent flatness and capable of being used even with the EUV lithography can be obtained comparatively easily and inexpensively.

Journal ArticleDOI
TL;DR: In this article, a five-mask low-temperature polycrystalline silicon (LTPS) CMOS structure was verified by manufacturing the thin-film transistor test samples using the proposed five mask LTPS CMOS process.
Abstract: A novel five-mask low-temperature polycrystalline silicon (LTPS) CMOS structure was verified by manufacturing the thin-film transistor test samples using the proposed five-mask LTPS CMOS process. In integrating the five-mask CMOS structure, a selective contact barrier metal formation process was developed, without additional photomask steps, to solve the issue of high-contact-resistance problem encountered inevitably in the contact between the indium tin oxide and doped polycrystalline silicon (poly-Si) source-drain layers. The five-mask CMOS technology was also confirmed by manufacturing a five-mask CMOS panel for the active-matrix liquid-crystal-display application.

Journal ArticleDOI
TL;DR: In this paper, a nonadiabatic photolithography scheme was proposed to realize Fresnel zone plates (FZPs) with structures smaller than the wavelength of the light source used for exposure.
Abstract: Fresnel zone plates (FZPs) were fabricated in order to evaluate the performance of nonadiabatic photolithography by exploiting the localized nature of optical near fields. This novel photolithography scheme could realize FZPs with structures smaller than the wavelength of the light source used for exposure. The FZP for 325-nm-wavelength UV light could focus the incident light to a spot size of 590 nm. An FZP for focusing soft X-rays was also fabricated and, compared to conventional adiabatic photolithography, showed higher-contrast zones over the whole area of the FZP. This method exhibits a high dynamic range and good spatial resolution, and it was free from artifacts due to the interference of the residual propagating exposure light transmitted through the aperture of the photomask.

Patent
12 Apr 2010
TL;DR: In this article, a photoresist film is subjected to a flood exposure to blanket expose the photoresists across the substrate to a first radiation with a relatively lower dosage, followed by a main exposure using a photomask.
Abstract: A semiconductor lithography process. A photoresist film is coated on a substrate. The photoresist film is subjected to a flood exposure to blanket expose the photoresist film across the substrate to a first radiation with a relatively lower dosage. The photoresist film is then subjected to a main exposure using a photomask to expose the photoresist film in a step and scan manner to a second radiation with a relatively higher dosage. After baking, the photoresist film is developed.

Patent
Ruifang Shi1, Yalin Xiong1
30 Aug 2010
TL;DR: In this paper, a method for inspecting photomasks to identify lithographically significant contamination defects is described. But this method is performed without a separate reference image provided from a database or another die.
Abstract: Provided are novel methods and systems for inspecting photomasks to identify lithographically significant contamination defects. Inspection may be performed without a separate reference image provided from a database or another die. Inspection techniques described herein involve capturing one or more test images of a photomask and constructing corresponding test “simulation” images using specific lithographic and/or resist models. These test simulation images simulate printable and/or resist patterns of the inspected photomask. Furthermore, the initial test images are used in parallel operations to generate “synthetic” images. These images represent a defect-free photomask pattern. The synthetic images are then used for generating reference simulation images, which are similar to the test simulation images but are free from lithographically significant contamination defects. Finally, the reference simulation images are compared to the test simulation images to identify the lithographically significant contamination defects on the photomask.

Journal ArticleDOI
TL;DR: The results show a improvement of the achieved resolution--linewidth as good as 1.5 microm--what is impossible to obtain with traditional binary masks in proximity printing mode, which can be used in the fabrication of MEMS and MOEMS devices.
Abstract: A phase shift proximity printing lithographic mask is designed, manufactured and tested. Its design is based on a Fresnel computer-generated hologram, employing the scalar diffraction theory. The obtained amplitude and phase distributions were mapped into discrete levels. In addition, a coding scheme using sub-cells structure was employed in order to increase the number of discrete levels, thus increasing the degree of freedom in the resulting mask. The mask is fabricated on a fused silica substrate and an amorphous hydrogenated carbon (a:C-H) thin film which act as amplitude modulation agent. The lithographic image is projected onto a resist coated silicon wafer, placed at a distance of 50 microm behind the mask. The results show a improvement of the achieved resolution--linewidth as good as 1.5 microm--what is impossible to obtain with traditional binary masks in proximity printing mode. Such achieved dimensions can be used in the fabrication of MEMS and MOEMS devices. These results are obtained with a UV laser but also with a small arc lamp light source exploring the partial coherence of this source.

Patent
04 Feb 2010
TL;DR: In this paper, a halftone mask consisting of an assist pattern and a manufacturing method of the mask, which uses an ArF excimer laser as an exposing source, is used for a projection exposure by an off-axis illumination, does not resolve the assist pattern while keeping the focal depth magnification effect as the assistance pattern, and may form a transferred image having high contrast of a main pattern.
Abstract: The present invention provides a halftone mask comprising an assist pattern and a manufacturing method of the halftone mask, which uses an ArF excimer laser as an exposing source, is used for a projection exposure by an off axis illumination, does not resolve the assist pattern while keeping the focal depth magnification effect as the assist pattern, and may form a transferred image having high contrast of a main pattern. A photomask is a photomask comprising the main pattern which is transferred to a transfer-target surface by the projection exposure and the assist pattern which is formed nearby the main pattern and not transferred, characterized in that the main pattern and the assist pattern are each constituted from a semi-transparent film made of the same material, a retardation of 180° is generated between the light transmitting through the main pattern and the light transmitting through a transparent region of a transparent substrate, and a predetermined retardation within the scope of 70° to 115° is generated between the light transmitting through the assist pattern and the light transmitting through the transparent region of the transparent substrate.

Patent
31 Mar 2010
TL;DR: In this paper, a photomask blank having a film on a substrate is inspected by measuring a surface topography of the substrate, removing the film from the blank to provide a treated substrate, and comparing the surface topographical of the blank with the substrate.
Abstract: A photomask blank having a film on a substrate is inspected by (A) measuring a surface topography of a photomask blank having a film to be inspected for stress, (B) removing the film from the photomask blank to provide a treated substrate, (C) measuring a surface topography of the treated substrate, and (D) comparing the surface topography of the photomask blank with the surface topography of the treated substrate, thereby evaluating a stress in the film.

Patent
02 Dec 2010
TL;DR: In this article, a multilayer stack with alternating first second and third layers disposed over each other is provided, where at least the second layer is formed through an atomic layer deposition process and an absorber layer is disposed over the capping layer.
Abstract: A photomask is provide. The photomask includes a substrate having a multi-layer stack disposed over the substrate. The multilayer stack has alternating first second and third layers disposed over each other, wherein the first, second and third layers are composed of first, second and third materials, respectively, and wherein at least the second layer is formed through an atomic layer deposition process. A capping layer is disposed over the multilayer stack; and an absorber layer disposed over the capping layer. A method for evaluating materials, unit processes, and process sequences for manufacturing a photomask is also provided.

Patent
02 Apr 2010
TL;DR: In this paper, the phase shift section is an engraved section prepared by engraving the translucent substrate from the substrate surface to an engraved depth, which induces a predetermined phase difference in exposure light with respect to the exposure light to be transmitted by a section having no phase shift sections.
Abstract: PROBLEM TO BE SOLVED: To provide a photomask blank in which a fine phase shift section can be formed with high CD (Critical Dimension) accuracy on a substrate or on a phase shift film SOLUTION: The photomask blank for preparing a phase shift mask is provided with a phase shift section that induces a predetermined phase difference in exposure light to be transmitted by a translucent substrate 1 The phase shift section is an engraved section prepared by engraving the translucent substrate from the substrate surface to an engraved depth which induces a predetermined phase difference in exposure light with respect to the exposure light to be transmitted by a section having no phase shift section of the translucent substrate The phase shift section includes: a light-shielding section 13 that is formed in a peripheral region of a transfer pattern region on the surface of the translucent substrate and blocks exposure light; and an etching mask film 20a functioning as an etching mask when the engraved section is formed in the transfer pattern region on the surface of the translucent substrate, at least until the engraved section reaches the above described engraved depth COPYRIGHT: (C)2010,JPO&INPIT


Patent
02 Jul 2010
TL;DR: In this article, a photo-alignment layer was proposed for pattern exposure with high accuracy without depending on a conveying direction of a resin substrate even when an inexpensive and simple apparatus or non-parallel beams are used and a continuously conveyed long resin substrate is used.
Abstract: PROBLEM TO BE SOLVED: To provide a method for producing a photo-alignment layer, by which pattern exposure with high accuracy can be easily carried out without depending on a conveying direction of a resin substrate even when an inexpensive and simple apparatus or non-parallel beams are used and a continuously conveyed long resin substrate is used.SOLUTION: The method for producing a photo-alignment layer includes steps of: (i) preparing a substrate to be irradiated, which has an alignment layer on one surface of a long first transparent resin substrate, and preparing a long photomask having a desired UV ray blocking pattern on one surface of a long second transparent resin substrate; (ii) continuously supplying the substrate to be irradiated; (iii) continuously supplying the photomask; (iv) laminating the photomask to the alignment layer side of the substrate to be irradiated to obtain a laminate; (v) irradiating the alignment layer through the photomask with linearly polarized light having a given polarization direction while the laminate is conveyed so as to expose the alignment layer to transfer the pattern; and (vi) peeling the photomask from the laminate.